summaryrefslogtreecommitdiff
path: root/test
diff options
context:
space:
mode:
Diffstat (limited to 'test')
-rw-r--r--test/regress/regress0/bv/core/constant_core.smt215
-rw-r--r--test/regress/regress0/bv/core/incremental.smt24
-rw-r--r--test/regress/regress0/bv/core/slice-14.smt6
-rw-r--r--test/regress/regress0/bv/inequality00.smt221
-rw-r--r--test/regress/regress0/bv/inequality01.smt222
-rw-r--r--test/regress/regress0/bv/inequality02.smt222
-rw-r--r--test/regress/regress0/bv/inequality03.smt210
-rw-r--r--test/regress/regress0/bv/inequality04.smt219
-rw-r--r--test/regress/regress0/bv/inequality05.smt228
9 files changed, 164 insertions, 3 deletions
diff --git a/test/regress/regress0/bv/core/constant_core.smt2 b/test/regress/regress0/bv/core/constant_core.smt2
new file mode 100644
index 000000000..c7a5a605f
--- /dev/null
+++ b/test/regress/regress0/bv/core/constant_core.smt2
@@ -0,0 +1,15 @@
+(set-logic QF_BV)
+(set-info :smt-lib-version 2.0)
+(set-info :category "crafted")
+(set-info :status unsat)
+(declare-fun x () (_ BitVec 3))
+(assert (and
+(= ((_ extract 1 0) x) (concat ((_ extract 1 1) x) ((_ extract 0 0) x)))
+(= ((_ extract 0 0) x) ((_ extract 1 1) x))
+(= ((_ extract 2 2) x) ((_ extract 1 1) x))
+(= (_ bv0 1) ((_ extract 0 0) x))
+(= x (concat ((_ extract 2 2) x) ((_ extract 1 0) x)))
+(not (= (_ bv0 3) x))
+))
+(check-sat)
+(exit) \ No newline at end of file
diff --git a/test/regress/regress0/bv/core/incremental.smt b/test/regress/regress0/bv/core/incremental.smt
new file mode 100644
index 000000000..3a9ff85e0
--- /dev/null
+++ b/test/regress/regress0/bv/core/incremental.smt
@@ -0,0 +1,24 @@
+(benchmark ext_con_004_004_0016.smt
+:logic QF_BV
+:extrafuns ((v4 BitVec[16]))
+:extrafuns ((dummy4 BitVec[1]))
+:extrafuns ((a BitVec[16]))
+:status unknown
+:formula
+(flet ($n1 true)
+(let (?n2 (extract[15:13] a))
+(let (?n3 (extract[15:14] v4))
+(let (?n4 (concat ?n3 dummy4))
+(flet ($n5 (= ?n2 ?n4))
+(let (?n6 (extract[14:12] a))
+(let (?n7 (extract[13:12] v4))
+(let (?n8 (concat dummy4 ?n7))
+(flet ($n9 (= ?n6 ?n8))
+(flet ($n10 (and $n5 $n9))
+(let (?n11 (extract[14:14] v4))
+(let (?n12 (extract[13:13] v4))
+(flet ($n13 (= ?n11 ?n12))
+(flet ($n14 (not $n13))
+(flet ($n15 (and $n1 $n1 $n1 $n10 $n14))
+$n15
+))))))))))))))))
diff --git a/test/regress/regress0/bv/core/slice-14.smt b/test/regress/regress0/bv/core/slice-14.smt
index b40f7938d..db3a3a7b3 100644
--- a/test/regress/regress0/bv/core/slice-14.smt
+++ b/test/regress/regress0/bv/core/slice-14.smt
@@ -1,8 +1,8 @@
(benchmark slice
:status unsat
:logic QF_BV
- :extrafuns ((x BitVec[16]))
- :assumption (= (extract[15:1] x) (extract[14:0] x))
+ :extrafuns ((x BitVec[6]))
+ :assumption (= (extract[5:1] x) (extract[4:0] x))
:assumption (= (extract[0:0] x) bv0[1])
- :formula (not (= x bv0[16]))
+ :formula (not (= x bv0[6]))
)
diff --git a/test/regress/regress0/bv/inequality00.smt2 b/test/regress/regress0/bv/inequality00.smt2
new file mode 100644
index 000000000..dc6285d52
--- /dev/null
+++ b/test/regress/regress0/bv/inequality00.smt2
@@ -0,0 +1,21 @@
+(set-logic QF_BV)
+(set-info :smt-lib-version 2.0)
+(set-info :category "crafted")
+(set-info :status unsat)
+(declare-fun v0 () (_ BitVec 16))
+(declare-fun v1 () (_ BitVec 16))
+(declare-fun v2 () (_ BitVec 16))
+(declare-fun v3 () (_ BitVec 16))
+(declare-fun v4 () (_ BitVec 16))
+(declare-fun v5 () (_ BitVec 16))
+(assert (and
+ (bvult v2 v4)
+ (bvult v3 v4)
+ (bvult v0 v1)
+ (bvult v1 v2)
+ (bvult v1 v3)
+ (bvult v4 v5)
+ (bvult v5 v1)
+ ))
+(check-sat)
+(exit)
diff --git a/test/regress/regress0/bv/inequality01.smt2 b/test/regress/regress0/bv/inequality01.smt2
new file mode 100644
index 000000000..73a2515df
--- /dev/null
+++ b/test/regress/regress0/bv/inequality01.smt2
@@ -0,0 +1,22 @@
+(set-logic QF_BV)
+(set-info :smt-lib-version 2.0)
+(set-info :category "crafted")
+(set-info :status sat)
+(declare-fun v0 () (_ BitVec 16))
+(declare-fun v1 () (_ BitVec 16))
+(declare-fun v2 () (_ BitVec 16))
+(declare-fun v3 () (_ BitVec 16))
+(declare-fun v4 () (_ BitVec 16))
+(declare-fun v5 () (_ BitVec 16))
+(assert (and
+ (bvult v0 v1)
+ (bvult v1 v2)
+ (bvult v1 v3)
+ (bvult v2 v4)
+ (bvult v3 v4)
+ (bvult v4 v5)
+ (bvult (_ bv2 16) v2)
+ (bvult (_ bv5 16) v3)
+ ))
+(check-sat)
+(exit)
diff --git a/test/regress/regress0/bv/inequality02.smt2 b/test/regress/regress0/bv/inequality02.smt2
new file mode 100644
index 000000000..05f11311f
--- /dev/null
+++ b/test/regress/regress0/bv/inequality02.smt2
@@ -0,0 +1,22 @@
+(set-logic QF_BV)
+(set-info :smt-lib-version 2.0)
+(set-info :category "crafted")
+(set-info :status unsat)
+(declare-fun v0 () (_ BitVec 16))
+(declare-fun v1 () (_ BitVec 16))
+(declare-fun v2 () (_ BitVec 16))
+(declare-fun v3 () (_ BitVec 16))
+(declare-fun v4 () (_ BitVec 16))
+(declare-fun v5 () (_ BitVec 16))
+(assert (and
+ (bvult v0 v1)
+ (bvult (_ bv5 16) v3)
+ (bvult v1 v2)
+ (bvult v1 v3)
+ (bvult v5 (_ bv8 16))
+ (bvult v2 v4)
+ (bvult v3 v4)
+ (bvult v4 v5)
+ ))
+(check-sat)
+(exit)
diff --git a/test/regress/regress0/bv/inequality03.smt2 b/test/regress/regress0/bv/inequality03.smt2
new file mode 100644
index 000000000..a47551d14
--- /dev/null
+++ b/test/regress/regress0/bv/inequality03.smt2
@@ -0,0 +1,10 @@
+(set-logic QF_BV)
+(set-info :smt-lib-version 2.0)
+(set-info :category "crafted")
+(set-info :status unsat)
+(declare-fun v0 () (_ BitVec 16))
+(assert (and
+ (bvult v0 (_ bv3 16))
+ (bvult (_ bv2 16) v0)))
+(check-sat)
+(exit)
diff --git a/test/regress/regress0/bv/inequality04.smt2 b/test/regress/regress0/bv/inequality04.smt2
new file mode 100644
index 000000000..7b5dbd7d5
--- /dev/null
+++ b/test/regress/regress0/bv/inequality04.smt2
@@ -0,0 +1,19 @@
+(set-logic QF_BV)
+(set-info :smt-lib-version 2.0)
+(set-info :category "crafted")
+(set-info :status unsat)
+(declare-fun v0 () (_ BitVec 16))
+(declare-fun v1 () (_ BitVec 16))
+(declare-fun v2 () (_ BitVec 16))
+(declare-fun v3 () (_ BitVec 16))
+(declare-fun v4 () (_ BitVec 16))
+(declare-fun v5 () (_ BitVec 16))
+(assert (and
+ (bvule v0 v1)
+ (bvule v1 v2)
+ (bvule v2 v0)
+ (bvule (_ bv4 16) v0)
+ (bvult v2 (_ bv5 16))
+ ))
+(check-sat)
+(exit)
diff --git a/test/regress/regress0/bv/inequality05.smt2 b/test/regress/regress0/bv/inequality05.smt2
new file mode 100644
index 000000000..d8cf9cf99
--- /dev/null
+++ b/test/regress/regress0/bv/inequality05.smt2
@@ -0,0 +1,28 @@
+(set-logic QF_BV)
+(set-info :smt-lib-version 2.0)
+(set-info :category "crafted")
+(set-info :status sat)
+(declare-fun v0 () (_ BitVec 16))
+(declare-fun v1 () (_ BitVec 16))
+(declare-fun v2 () (_ BitVec 16))
+(declare-fun v3 () (_ BitVec 16))
+(declare-fun v4 () (_ BitVec 16))
+(declare-fun v5 () (_ BitVec 16))
+(declare-fun v6 () (_ BitVec 16))
+(declare-fun v7 () (_ BitVec 16))
+(declare-fun v8 () (_ BitVec 16))
+(declare-fun v9 () (_ BitVec 16))
+(assert (and
+(bvult v6 v5)
+(bvule v7 v8)
+(bvugt v7 v1)
+(bvuge v4 v1)
+(bvuge v8 v0)
+(bvugt v1 v0)
+(bvuge v1 (_ bv60094 16))
+(bvule v3 v0)
+(bvuge (_ bv47327 16) v6)
+(bvugt v3 v6)
+))
+(check-sat)
+(exit) \ No newline at end of file
generated by cgit on debian on lair
contact matthew@masot.net with questions or feedback