summaryrefslogtreecommitdiff
path: root/test/regress
diff options
context:
space:
mode:
authorChristopher L. Conway <christopherleeconway@gmail.com>2010-03-10 02:34:04 +0000
committerChristopher L. Conway <christopherleeconway@gmail.com>2010-03-10 02:34:04 +0000
commit0cd57d89001a73ea1ebe0d43b2cb720d68cca82a (patch)
tree422a6682cb3d37086b98379ee474547160220955 /test/regress
parent8d85fb035b92f0fa0d852257dc00c9a85b1a350e (diff)
Adding preliminary let/flet support to SMT parser (Bug #51)
Diffstat (limited to 'test/regress')
-rw-r--r--test/regress/regress0/Makefile.am5
-rw-r--r--test/regress/regress0/flet.smt5
-rw-r--r--test/regress/regress0/flet2.smt5
-rw-r--r--test/regress/regress0/let.smt5
-rw-r--r--test/regress/regress0/let2.smt5
5 files changed, 25 insertions, 0 deletions
diff --git a/test/regress/regress0/Makefile.am b/test/regress/regress0/Makefile.am
index a8786b4ff..29141d633 100644
--- a/test/regress/regress0/Makefile.am
+++ b/test/regress/regress0/Makefile.am
@@ -2,7 +2,12 @@ SUBDIRS = precedence uf
TESTS_ENVIRONMENT = @srcdir@/../run_regression @top_builddir@/../../bin/cvc4
TESTS = bug32.cvc \
+ distinct.smt \
+ flet.smt \
+ flet2.smt \
hole6.cvc \
+ let.smt \
+ let2.smt \
logops.01.cvc \
logops.02.cvc \
logops.03.cvc \
diff --git a/test/regress/regress0/flet.smt b/test/regress/regress0/flet.smt
new file mode 100644
index 000000000..95742de77
--- /dev/null
+++ b/test/regress/regress0/flet.smt
@@ -0,0 +1,5 @@
+(benchmark flet_test
+ :logic QF_UF
+ :status unsat
+ :extrapreds ((a) (b))
+ :formula (flet ($x (and a b)) (and $x (or (not a) (not b))))) \ No newline at end of file
diff --git a/test/regress/regress0/flet2.smt b/test/regress/regress0/flet2.smt
new file mode 100644
index 000000000..4d71ebf30
--- /dev/null
+++ b/test/regress/regress0/flet2.smt
@@ -0,0 +1,5 @@
+(benchmark flet_test
+ :logic QF_UF
+ :status sat
+ :extrapreds ((a) (b))
+ :formula (flet ($x (and a b)) (and $x (or a b)))) \ No newline at end of file
diff --git a/test/regress/regress0/let.smt b/test/regress/regress0/let.smt
new file mode 100644
index 000000000..45d0eaecb
--- /dev/null
+++ b/test/regress/regress0/let.smt
@@ -0,0 +1,5 @@
+(benchmark let_test
+ :logic QF_UF
+ :status unsat
+ :extrafuns ((a U) (b U) (f U U))
+ :formula (let (?x a) (not (= ?x a)))) \ No newline at end of file
diff --git a/test/regress/regress0/let2.smt b/test/regress/regress0/let2.smt
new file mode 100644
index 000000000..aa3d20b8c
--- /dev/null
+++ b/test/regress/regress0/let2.smt
@@ -0,0 +1,5 @@
+(benchmark let_test
+ :logic QF_UF
+ :status sat
+ :extrafuns ((a U) (b U) (f U U))
+ :formula (let (?x (f a)) (= ?x (f b)))) \ No newline at end of file
generated by cgit on debian on lair
contact matthew@masot.net with questions or feedback