summaryrefslogtreecommitdiff
path: root/test/regress/regress2
diff options
context:
space:
mode:
authorAndrew Reynolds <andrew.j.reynolds@gmail.com>2018-02-15 15:31:48 -0600
committerAina Niemetz <aina.niemetz@gmail.com>2018-02-15 13:31:48 -0800
commit55037e0bcef45c795f28ff3fcf6c1055af465c70 (patch)
tree397d89bd10e541e1206c5dafdb8cf731feb34730 /test/regress/regress2
parent52a39aca19b7238d08c3cebcfa46436a73194008 (diff)
Refactor regressions (#1581)
Diffstat (limited to 'test/regress/regress2')
-rw-r--r--test/regress/regress2/Makefile.am15
-rw-r--r--test/regress/regress2/arith/Makefile.am6
-rw-r--r--test/regress/regress2/arith/arith-int-098.cvc8
-rw-r--r--test/regress/regress2/arith/miplib-opt1217--27.smt21549
-rw-r--r--test/regress/regress2/arith/miplib-pp08a-3000.smt2329
-rw-r--r--test/regress/regress2/bug396.smt23294
-rw-r--r--test/regress/regress2/bug674.smt228
-rw-r--r--test/regress/regress2/bug765.smt230
-rw-r--r--test/regress/regress2/javafe.ast.StandardPrettyPrint.319_no_forall.smt2941
-rw-r--r--test/regress/regress2/javafe.ast.WhileStmt.447_no_forall.smt2664
-rw-r--r--test/regress/regress2/nl/Makefile.am33
-rw-r--r--test/regress/regress2/nl/dumortier-050317.smt238
-rw-r--r--test/regress/regress2/nl/nt-lemmas-bad.smt229
-rw-r--r--test/regress/regress2/nl/siegel-nl-bases.smt222
-rw-r--r--test/regress/regress2/quantifiers/AdditiveMethods_AdditiveMethods..ctor.smt2399
-rw-r--r--test/regress/regress2/quantifiers/ForElimination-scala-9.smt231
-rw-r--r--test/regress/regress2/quantifiers/Makefile.am38
-rw-r--r--test/regress/regress2/quantifiers/javafe.ast.ArrayInit.35.smt2746
-rw-r--r--test/regress/regress2/quantifiers/javafe.ast.StandardPrettyPrint.319.smt21014
-rw-r--r--test/regress/regress2/quantifiers/javafe.ast.WhileStmt.447.smt2737
-rw-r--r--test/regress/regress2/quantifiers/javafe.tc.CheckCompilationUnit.001.smt2676
-rw-r--r--test/regress/regress2/quantifiers/javafe.tc.FlowInsensitiveChecks.682.smt21193
-rw-r--r--test/regress/regress2/quantifiers/nunchaku2309663.nun.min.smt279
-rw-r--r--test/regress/regress2/quantifiers/small-bug1-fixpoint-3.smt216
-rw-r--r--test/regress/regress2/simplify.javafe.ast.ArrayInit.35_without_quantification2.smt2674
-rw-r--r--test/regress/regress2/strings/Makefile.am34
-rw-r--r--test/regress/regress2/strings/cmu-dis-0707-3.smt226
-rw-r--r--test/regress/regress2/strings/cmu-prereg-fmf.smt212
-rw-r--r--test/regress/regress2/strings/cmu-repl-len-nterm.smt212
-rw-r--r--test/regress/regress2/strings/norn-dis-0707-3.smt226
-rw-r--r--test/regress/regress2/sygus/MPwL_d1s3.sy151
-rw-r--r--test/regress/regress2/sygus/Makefile.am42
-rw-r--r--test/regress/regress2/sygus/array_sum_dd.sy11
-rw-r--r--test/regress/regress2/sygus/icfp_easy_mt_ite.sy32
-rw-r--r--test/regress/regress2/sygus/inv_gen_n_c11.sy36
-rw-r--r--test/regress/regress2/sygus/lustre-real.sy322
-rw-r--r--test/regress/regress2/sygus/max2-univ.sy12
-rw-r--r--test/regress/regress2/sygus/mpg_guard1-dd.sy27
-rw-r--r--test/regress/regress2/sygus/nia-max-square.sy21
-rw-r--r--test/regress/regress2/sygus/no-syntax-test-no-si.sy14
-rw-r--r--test/regress/regress2/sygus/process-10-vars-2fun.sy28
-rw-r--r--test/regress/regress2/sygus/process-arg-invariance.sy18
-rw-r--r--test/regress/regress2/sygus/real-grammar-neg.sy14
-rw-r--r--test/regress/regress2/sygus/three.sy30
44 files changed, 13452 insertions, 5 deletions
diff --git a/test/regress/regress2/Makefile.am b/test/regress/regress2/Makefile.am
index 72c66a0b9..144a2225c 100644
--- a/test/regress/regress2/Makefile.am
+++ b/test/regress/regress2/Makefile.am
@@ -1,4 +1,4 @@
-SUBDIRS = . arith
+SUBDIRS = . arith nl quantifiers strings sygus
# don't override a BINARY imported from a personal.mk
@mk_if@eq ($(BINARY),)
@@ -20,7 +20,8 @@ MAKEFLAGS = -k
# These are run for all build profiles.
# If a test shouldn't be run in e.g. competition mode,
# put it below in "TESTS +="
-TESTS = bug136.smt \
+TESTS = \
+ bug136.smt \
bug148.smt \
bug394.smt2 \
DTP_k2_n35_c175_s15.smt2 \
@@ -44,12 +45,18 @@ TESTS = bug136.smt \
xs-09-16-3-4-1-5.smt \
xs-09-16-3-4-1-5.decn.smt \
uflia-error0.smt2 \
- bug812.smt2
+ bug812.smt2 \
+ bug765.smt2 \
+ simplify.javafe.ast.ArrayInit.35_without_quantification2.smt2 \
+ bug674.smt2
EXTRA_DIST = $(TESTS) \
FIREFLY_3_e2_2236_e7_3681.ec.minimized.smt2.expect \
uflia-error0.smt2.expect \
- xs-09-16-3-4-1-5.decn.smt.expect
+ xs-09-16-3-4-1-5.decn.smt.expect \
+ bug396.smt2 \
+ javafe.ast.StandardPrettyPrint.319_no_forall.smt2 \
+ javafe.ast.WhileStmt.447_no_forall.smt2
#if CVC4_BUILD_PROFILE_COMPETITION
#else
diff --git a/test/regress/regress2/arith/Makefile.am b/test/regress/regress2/arith/Makefile.am
index 82d4588c0..1bfad1dc3 100644
--- a/test/regress/regress2/arith/Makefile.am
+++ b/test/regress/regress2/arith/Makefile.am
@@ -26,7 +26,11 @@ TESTS = \
lpsat-goal-9.smt2 \
prp-13-24.smt2
-EXTRA_DIST = $(TESTS)
+
+EXTRA_DIST = $(TESTS) \
+ miplib-opt1217--27.smt2 \
+ miplib-pp08a-3000.smt2 \
+ arith-int-098.cvc
# synonyms for "check" in this directory
.PHONY: regress regress2 test
diff --git a/test/regress/regress2/arith/arith-int-098.cvc b/test/regress/regress2/arith/arith-int-098.cvc
new file mode 100644
index 000000000..08cfd9c9c
--- /dev/null
+++ b/test/regress/regress2/arith/arith-int-098.cvc
@@ -0,0 +1,8 @@
+% EXPECT: invalid
+x0, x1, x2, x3 : INT;
+ASSERT (-28 * x0) + (12 * x1) + (-19 * x2) + (10 * x3) = 16 ;
+ASSERT (19 * x0) + (-25 * x1) + (-8 * x2) + (-32 * x3) = 12;
+ASSERT (18 * x0) + (21 * x1) + (5 * x2) + (-14 * x3) < -12 ;
+ASSERT (-13 * x0) + (32 * x1) + (-5 * x2) + (-13 * x3) <= -15 ;
+ASSERT (30 * x0) + (-19 * x1) + (28 * x2) + (-27 * x3) <= -18 ;
+QUERY FALSE;
diff --git a/test/regress/regress2/arith/miplib-opt1217--27.smt2 b/test/regress/regress2/arith/miplib-opt1217--27.smt2
new file mode 100644
index 000000000..dc0ca78a8
--- /dev/null
+++ b/test/regress/regress2/arith/miplib-opt1217--27.smt2
@@ -0,0 +1,1549 @@
+; COMMAND-LINE: --enable-miplib-trick
+; EXPECT: unsat
+(set-logic QF_LRA)
+(set-info :source |
+Relaxation of the Mixed-Integer Programming
+optimization problem opt1217 from the MIPLIB (http://miplib.zib.de/)
+by Enric Rodriguez-Carbonell (erodri@lsi.upc.edu)
+|)
+(set-info :smt-lib-version 2.0)
+(set-info :category "industrial")
+(set-info :status unsat)
+(declare-fun tmp766 () Real)
+(declare-fun tmp765 () Real)
+(declare-fun tmp764 () Real)
+(declare-fun tmp763 () Real)
+(declare-fun tmp762 () Real)
+(declare-fun tmp761 () Real)
+(declare-fun tmp760 () Real)
+(declare-fun tmp759 () Real)
+(declare-fun tmp758 () Real)
+(declare-fun tmp757 () Real)
+(declare-fun tmp756 () Real)
+(declare-fun tmp755 () Real)
+(declare-fun tmp754 () Real)
+(declare-fun tmp753 () Real)
+(declare-fun tmp752 () Real)
+(declare-fun tmp751 () Real)
+(declare-fun tmp750 () Real)
+(declare-fun tmp749 () Real)
+(declare-fun tmp748 () Real)
+(declare-fun tmp747 () Real)
+(declare-fun tmp746 () Real)
+(declare-fun tmp745 () Real)
+(declare-fun tmp744 () Real)
+(declare-fun tmp743 () Real)
+(declare-fun tmp742 () Real)
+(declare-fun tmp741 () Real)
+(declare-fun tmp740 () Real)
+(declare-fun tmp739 () Real)
+(declare-fun tmp738 () Real)
+(declare-fun tmp737 () Real)
+(declare-fun tmp736 () Real)
+(declare-fun tmp735 () Real)
+(declare-fun tmp734 () Real)
+(declare-fun tmp733 () Real)
+(declare-fun tmp732 () Real)
+(declare-fun tmp731 () Real)
+(declare-fun tmp730 () Real)
+(declare-fun tmp729 () Real)
+(declare-fun tmp728 () Real)
+(declare-fun tmp727 () Real)
+(declare-fun tmp726 () Real)
+(declare-fun tmp725 () Real)
+(declare-fun tmp724 () Real)
+(declare-fun tmp723 () Real)
+(declare-fun tmp722 () Real)
+(declare-fun tmp721 () Real)
+(declare-fun tmp720 () Real)
+(declare-fun tmp719 () Real)
+(declare-fun tmp718 () Real)
+(declare-fun tmp717 () Real)
+(declare-fun tmp716 () Real)
+(declare-fun tmp715 () Real)
+(declare-fun tmp714 () Real)
+(declare-fun tmp713 () Real)
+(declare-fun tmp712 () Real)
+(declare-fun tmp711 () Real)
+(declare-fun tmp710 () Real)
+(declare-fun tmp709 () Real)
+(declare-fun tmp708 () Real)
+(declare-fun tmp707 () Real)
+(declare-fun tmp706 () Real)
+(declare-fun tmp705 () Real)
+(declare-fun tmp704 () Real)
+(declare-fun tmp703 () Real)
+(declare-fun tmp702 () Real)
+(declare-fun tmp701 () Real)
+(declare-fun tmp700 () Real)
+(declare-fun tmp699 () Real)
+(declare-fun tmp698 () Real)
+(declare-fun tmp697 () Real)
+(declare-fun tmp696 () Real)
+(declare-fun tmp695 () Real)
+(declare-fun tmp694 () Real)
+(declare-fun tmp693 () Real)
+(declare-fun tmp692 () Real)
+(declare-fun tmp691 () Real)
+(declare-fun tmp690 () Real)
+(declare-fun tmp689 () Real)
+(declare-fun tmp688 () Real)
+(declare-fun tmp687 () Real)
+(declare-fun tmp686 () Real)
+(declare-fun tmp685 () Real)
+(declare-fun tmp684 () Real)
+(declare-fun tmp683 () Real)
+(declare-fun tmp682 () Real)
+(declare-fun tmp681 () Real)
+(declare-fun tmp680 () Real)
+(declare-fun tmp679 () Real)
+(declare-fun tmp678 () Real)
+(declare-fun tmp677 () Real)
+(declare-fun tmp676 () Real)
+(declare-fun tmp675 () Real)
+(declare-fun tmp674 () Real)
+(declare-fun tmp673 () Real)
+(declare-fun tmp672 () Real)
+(declare-fun tmp671 () Real)
+(declare-fun tmp670 () Real)
+(declare-fun tmp669 () Real)
+(declare-fun tmp668 () Real)
+(declare-fun tmp667 () Real)
+(declare-fun tmp666 () Real)
+(declare-fun tmp665 () Real)
+(declare-fun tmp664 () Real)
+(declare-fun tmp663 () Real)
+(declare-fun tmp662 () Real)
+(declare-fun tmp661 () Real)
+(declare-fun tmp660 () Real)
+(declare-fun tmp659 () Real)
+(declare-fun tmp658 () Real)
+(declare-fun tmp657 () Real)
+(declare-fun tmp656 () Real)
+(declare-fun tmp655 () Real)
+(declare-fun tmp654 () Real)
+(declare-fun tmp653 () Real)
+(declare-fun tmp652 () Real)
+(declare-fun tmp651 () Real)
+(declare-fun tmp650 () Real)
+(declare-fun tmp649 () Real)
+(declare-fun tmp648 () Real)
+(declare-fun tmp647 () Real)
+(declare-fun tmp646 () Real)
+(declare-fun tmp645 () Real)
+(declare-fun tmp644 () Real)
+(declare-fun tmp643 () Real)
+(declare-fun tmp642 () Real)
+(declare-fun tmp641 () Real)
+(declare-fun tmp640 () Real)
+(declare-fun tmp639 () Real)
+(declare-fun tmp638 () Real)
+(declare-fun tmp637 () Real)
+(declare-fun tmp636 () Real)
+(declare-fun tmp635 () Real)
+(declare-fun tmp634 () Real)
+(declare-fun tmp633 () Real)
+(declare-fun tmp632 () Real)
+(declare-fun tmp631 () Real)
+(declare-fun tmp630 () Real)
+(declare-fun tmp629 () Real)
+(declare-fun tmp628 () Real)
+(declare-fun tmp627 () Real)
+(declare-fun tmp626 () Real)
+(declare-fun tmp625 () Real)
+(declare-fun tmp624 () Real)
+(declare-fun tmp623 () Real)
+(declare-fun tmp622 () Real)
+(declare-fun tmp621 () Real)
+(declare-fun tmp620 () Real)
+(declare-fun tmp619 () Real)
+(declare-fun tmp618 () Real)
+(declare-fun tmp617 () Real)
+(declare-fun tmp616 () Real)
+(declare-fun tmp615 () Real)
+(declare-fun tmp614 () Real)
+(declare-fun tmp613 () Real)
+(declare-fun tmp612 () Real)
+(declare-fun tmp611 () Real)
+(declare-fun tmp610 () Real)
+(declare-fun tmp609 () Real)
+(declare-fun tmp608 () Real)
+(declare-fun tmp607 () Real)
+(declare-fun tmp606 () Real)
+(declare-fun tmp605 () Real)
+(declare-fun tmp604 () Real)
+(declare-fun tmp603 () Real)
+(declare-fun tmp602 () Real)
+(declare-fun tmp601 () Real)
+(declare-fun tmp600 () Real)
+(declare-fun tmp599 () Real)
+(declare-fun tmp598 () Real)
+(declare-fun tmp597 () Real)
+(declare-fun tmp596 () Real)
+(declare-fun tmp595 () Real)
+(declare-fun tmp594 () Real)
+(declare-fun tmp593 () Real)
+(declare-fun tmp592 () Real)
+(declare-fun tmp591 () Real)
+(declare-fun tmp590 () Real)
+(declare-fun tmp589 () Real)
+(declare-fun tmp588 () Real)
+(declare-fun tmp587 () Real)
+(declare-fun tmp586 () Real)
+(declare-fun tmp585 () Real)
+(declare-fun tmp584 () Real)
+(declare-fun tmp583 () Real)
+(declare-fun tmp582 () Real)
+(declare-fun tmp581 () Real)
+(declare-fun tmp580 () Real)
+(declare-fun tmp579 () Real)
+(declare-fun tmp578 () Real)
+(declare-fun tmp577 () Real)
+(declare-fun tmp576 () Real)
+(declare-fun tmp575 () Real)
+(declare-fun tmp574 () Real)
+(declare-fun tmp573 () Real)
+(declare-fun tmp572 () Real)
+(declare-fun tmp571 () Real)
+(declare-fun tmp570 () Real)
+(declare-fun tmp569 () Real)
+(declare-fun tmp568 () Real)
+(declare-fun tmp567 () Real)
+(declare-fun tmp566 () Real)
+(declare-fun tmp565 () Real)
+(declare-fun tmp564 () Real)
+(declare-fun tmp563 () Real)
+(declare-fun tmp562 () Real)
+(declare-fun tmp561 () Real)
+(declare-fun tmp560 () Real)
+(declare-fun tmp559 () Real)
+(declare-fun tmp558 () Real)
+(declare-fun tmp557 () Real)
+(declare-fun tmp556 () Real)
+(declare-fun tmp555 () Real)
+(declare-fun tmp554 () Real)
+(declare-fun tmp553 () Real)
+(declare-fun tmp552 () Real)
+(declare-fun tmp551 () Real)
+(declare-fun tmp550 () Real)
+(declare-fun tmp549 () Real)
+(declare-fun tmp548 () Real)
+(declare-fun tmp547 () Real)
+(declare-fun tmp546 () Real)
+(declare-fun tmp545 () Real)
+(declare-fun tmp544 () Real)
+(declare-fun tmp543 () Real)
+(declare-fun tmp542 () Real)
+(declare-fun tmp541 () Real)
+(declare-fun tmp540 () Real)
+(declare-fun tmp539 () Real)
+(declare-fun tmp538 () Real)
+(declare-fun tmp537 () Real)
+(declare-fun tmp536 () Real)
+(declare-fun tmp535 () Real)
+(declare-fun tmp534 () Real)
+(declare-fun tmp533 () Real)
+(declare-fun tmp532 () Real)
+(declare-fun tmp531 () Real)
+(declare-fun tmp530 () Real)
+(declare-fun tmp529 () Real)
+(declare-fun tmp528 () Real)
+(declare-fun tmp527 () Real)
+(declare-fun tmp526 () Real)
+(declare-fun tmp525 () Real)
+(declare-fun tmp524 () Real)
+(declare-fun tmp523 () Real)
+(declare-fun tmp522 () Real)
+(declare-fun tmp521 () Real)
+(declare-fun tmp520 () Real)
+(declare-fun tmp519 () Real)
+(declare-fun tmp518 () Real)
+(declare-fun tmp517 () Real)
+(declare-fun tmp516 () Real)
+(declare-fun tmp515 () Real)
+(declare-fun tmp514 () Real)
+(declare-fun tmp513 () Real)
+(declare-fun tmp512 () Real)
+(declare-fun tmp511 () Real)
+(declare-fun tmp510 () Real)
+(declare-fun tmp509 () Real)
+(declare-fun tmp508 () Real)
+(declare-fun tmp507 () Real)
+(declare-fun tmp506 () Real)
+(declare-fun tmp505 () Real)
+(declare-fun tmp504 () Real)
+(declare-fun tmp503 () Real)
+(declare-fun tmp502 () Real)
+(declare-fun tmp501 () Real)
+(declare-fun tmp500 () Real)
+(declare-fun tmp499 () Real)
+(declare-fun tmp498 () Real)
+(declare-fun tmp497 () Real)
+(declare-fun tmp496 () Real)
+(declare-fun tmp495 () Real)
+(declare-fun tmp494 () Real)
+(declare-fun tmp493 () Real)
+(declare-fun tmp492 () Real)
+(declare-fun tmp491 () Real)
+(declare-fun tmp490 () Real)
+(declare-fun tmp489 () Real)
+(declare-fun tmp488 () Real)
+(declare-fun tmp487 () Real)
+(declare-fun tmp486 () Real)
+(declare-fun tmp485 () Real)
+(declare-fun tmp484 () Real)
+(declare-fun tmp483 () Real)
+(declare-fun tmp482 () Real)
+(declare-fun tmp481 () Real)
+(declare-fun tmp480 () Real)
+(declare-fun tmp479 () Real)
+(declare-fun tmp478 () Real)
+(declare-fun tmp477 () Real)
+(declare-fun tmp476 () Real)
+(declare-fun tmp475 () Real)
+(declare-fun tmp474 () Real)
+(declare-fun tmp473 () Real)
+(declare-fun tmp472 () Real)
+(declare-fun tmp471 () Real)
+(declare-fun tmp470 () Real)
+(declare-fun tmp469 () Real)
+(declare-fun tmp468 () Real)
+(declare-fun tmp467 () Real)
+(declare-fun tmp466 () Real)
+(declare-fun tmp465 () Real)
+(declare-fun tmp464 () Real)
+(declare-fun tmp463 () Real)
+(declare-fun tmp462 () Real)
+(declare-fun tmp461 () Real)
+(declare-fun tmp460 () Real)
+(declare-fun tmp459 () Real)
+(declare-fun tmp458 () Real)
+(declare-fun tmp457 () Real)
+(declare-fun tmp456 () Real)
+(declare-fun tmp455 () Real)
+(declare-fun tmp454 () Real)
+(declare-fun tmp453 () Real)
+(declare-fun tmp452 () Real)
+(declare-fun tmp451 () Real)
+(declare-fun tmp450 () Real)
+(declare-fun tmp449 () Real)
+(declare-fun tmp448 () Real)
+(declare-fun tmp447 () Real)
+(declare-fun tmp446 () Real)
+(declare-fun tmp445 () Real)
+(declare-fun tmp444 () Real)
+(declare-fun tmp443 () Real)
+(declare-fun tmp442 () Real)
+(declare-fun tmp441 () Real)
+(declare-fun tmp440 () Real)
+(declare-fun tmp439 () Real)
+(declare-fun tmp438 () Real)
+(declare-fun tmp437 () Real)
+(declare-fun tmp436 () Real)
+(declare-fun tmp435 () Real)
+(declare-fun tmp434 () Real)
+(declare-fun tmp433 () Real)
+(declare-fun tmp432 () Real)
+(declare-fun tmp431 () Real)
+(declare-fun tmp430 () Real)
+(declare-fun tmp429 () Real)
+(declare-fun tmp428 () Real)
+(declare-fun tmp427 () Real)
+(declare-fun tmp426 () Real)
+(declare-fun tmp425 () Real)
+(declare-fun tmp424 () Real)
+(declare-fun tmp423 () Real)
+(declare-fun tmp422 () Real)
+(declare-fun tmp421 () Real)
+(declare-fun tmp420 () Real)
+(declare-fun tmp419 () Real)
+(declare-fun tmp418 () Real)
+(declare-fun tmp417 () Real)
+(declare-fun tmp416 () Real)
+(declare-fun tmp415 () Real)
+(declare-fun tmp414 () Real)
+(declare-fun tmp413 () Real)
+(declare-fun tmp412 () Real)
+(declare-fun tmp411 () Real)
+(declare-fun tmp410 () Real)
+(declare-fun tmp409 () Real)
+(declare-fun tmp408 () Real)
+(declare-fun tmp407 () Real)
+(declare-fun tmp406 () Real)
+(declare-fun tmp405 () Real)
+(declare-fun tmp404 () Real)
+(declare-fun tmp403 () Real)
+(declare-fun tmp402 () Real)
+(declare-fun tmp401 () Real)
+(declare-fun tmp400 () Real)
+(declare-fun tmp399 () Real)
+(declare-fun tmp398 () Real)
+(declare-fun tmp397 () Real)
+(declare-fun tmp396 () Real)
+(declare-fun tmp395 () Real)
+(declare-fun tmp394 () Real)
+(declare-fun tmp393 () Real)
+(declare-fun tmp392 () Real)
+(declare-fun tmp391 () Real)
+(declare-fun tmp390 () Real)
+(declare-fun tmp389 () Real)
+(declare-fun tmp388 () Real)
+(declare-fun tmp387 () Real)
+(declare-fun tmp386 () Real)
+(declare-fun tmp385 () Real)
+(declare-fun tmp384 () Real)
+(declare-fun tmp383 () Real)
+(declare-fun tmp382 () Real)
+(declare-fun tmp381 () Real)
+(declare-fun tmp380 () Real)
+(declare-fun tmp379 () Real)
+(declare-fun tmp378 () Real)
+(declare-fun tmp377 () Real)
+(declare-fun tmp376 () Real)
+(declare-fun tmp375 () Real)
+(declare-fun tmp374 () Real)
+(declare-fun tmp373 () Real)
+(declare-fun tmp372 () Real)
+(declare-fun tmp371 () Real)
+(declare-fun tmp370 () Real)
+(declare-fun tmp369 () Real)
+(declare-fun tmp368 () Real)
+(declare-fun tmp367 () Real)
+(declare-fun tmp366 () Real)
+(declare-fun tmp365 () Real)
+(declare-fun tmp364 () Real)
+(declare-fun tmp363 () Real)
+(declare-fun tmp362 () Real)
+(declare-fun tmp361 () Real)
+(declare-fun tmp360 () Real)
+(declare-fun tmp359 () Real)
+(declare-fun tmp358 () Real)
+(declare-fun tmp357 () Real)
+(declare-fun tmp356 () Real)
+(declare-fun tmp355 () Real)
+(declare-fun tmp354 () Real)
+(declare-fun tmp353 () Real)
+(declare-fun tmp352 () Real)
+(declare-fun tmp351 () Real)
+(declare-fun tmp350 () Real)
+(declare-fun tmp349 () Real)
+(declare-fun tmp348 () Real)
+(declare-fun tmp347 () Real)
+(declare-fun tmp346 () Real)
+(declare-fun tmp345 () Real)
+(declare-fun tmp344 () Real)
+(declare-fun tmp343 () Real)
+(declare-fun tmp342 () Real)
+(declare-fun tmp341 () Real)
+(declare-fun tmp340 () Real)
+(declare-fun tmp339 () Real)
+(declare-fun tmp338 () Real)
+(declare-fun tmp337 () Real)
+(declare-fun tmp336 () Real)
+(declare-fun tmp335 () Real)
+(declare-fun tmp334 () Real)
+(declare-fun tmp333 () Real)
+(declare-fun tmp332 () Real)
+(declare-fun tmp331 () Real)
+(declare-fun tmp330 () Real)
+(declare-fun tmp329 () Real)
+(declare-fun tmp328 () Real)
+(declare-fun tmp327 () Real)
+(declare-fun tmp326 () Real)
+(declare-fun tmp325 () Real)
+(declare-fun tmp324 () Real)
+(declare-fun tmp323 () Real)
+(declare-fun tmp322 () Real)
+(declare-fun tmp321 () Real)
+(declare-fun tmp320 () Real)
+(declare-fun tmp319 () Real)
+(declare-fun tmp318 () Real)
+(declare-fun tmp317 () Real)
+(declare-fun tmp316 () Real)
+(declare-fun tmp315 () Real)
+(declare-fun tmp314 () Real)
+(declare-fun tmp313 () Real)
+(declare-fun tmp312 () Real)
+(declare-fun tmp311 () Real)
+(declare-fun tmp310 () Real)
+(declare-fun tmp309 () Real)
+(declare-fun tmp308 () Real)
+(declare-fun tmp307 () Real)
+(declare-fun tmp306 () Real)
+(declare-fun tmp305 () Real)
+(declare-fun tmp304 () Real)
+(declare-fun tmp303 () Real)
+(declare-fun tmp302 () Real)
+(declare-fun tmp301 () Real)
+(declare-fun tmp300 () Real)
+(declare-fun tmp299 () Real)
+(declare-fun tmp298 () Real)
+(declare-fun tmp297 () Real)
+(declare-fun tmp296 () Real)
+(declare-fun tmp295 () Real)
+(declare-fun tmp294 () Real)
+(declare-fun tmp293 () Real)
+(declare-fun tmp292 () Real)
+(declare-fun tmp291 () Real)
+(declare-fun tmp290 () Real)
+(declare-fun tmp289 () Real)
+(declare-fun tmp288 () Real)
+(declare-fun tmp287 () Real)
+(declare-fun tmp286 () Real)
+(declare-fun tmp285 () Real)
+(declare-fun tmp284 () Real)
+(declare-fun tmp283 () Real)
+(declare-fun tmp282 () Real)
+(declare-fun tmp281 () Real)
+(declare-fun tmp280 () Real)
+(declare-fun tmp279 () Real)
+(declare-fun tmp278 () Real)
+(declare-fun tmp277 () Real)
+(declare-fun tmp276 () Real)
+(declare-fun tmp275 () Real)
+(declare-fun tmp274 () Real)
+(declare-fun tmp273 () Real)
+(declare-fun tmp272 () Real)
+(declare-fun tmp271 () Real)
+(declare-fun tmp270 () Real)
+(declare-fun tmp269 () Real)
+(declare-fun tmp268 () Real)
+(declare-fun tmp267 () Real)
+(declare-fun tmp266 () Real)
+(declare-fun tmp265 () Real)
+(declare-fun tmp264 () Real)
+(declare-fun tmp263 () Real)
+(declare-fun tmp262 () Real)
+(declare-fun tmp261 () Real)
+(declare-fun tmp260 () Real)
+(declare-fun tmp259 () Real)
+(declare-fun tmp258 () Real)
+(declare-fun tmp257 () Real)
+(declare-fun tmp256 () Real)
+(declare-fun tmp255 () Real)
+(declare-fun tmp254 () Real)
+(declare-fun tmp253 () Real)
+(declare-fun tmp252 () Real)
+(declare-fun tmp251 () Real)
+(declare-fun tmp250 () Real)
+(declare-fun tmp249 () Real)
+(declare-fun tmp248 () Real)
+(declare-fun tmp247 () Real)
+(declare-fun tmp246 () Real)
+(declare-fun tmp245 () Real)
+(declare-fun tmp244 () Real)
+(declare-fun tmp243 () Real)
+(declare-fun tmp242 () Real)
+(declare-fun tmp241 () Real)
+(declare-fun tmp240 () Real)
+(declare-fun tmp239 () Real)
+(declare-fun tmp238 () Real)
+(declare-fun tmp237 () Real)
+(declare-fun tmp236 () Real)
+(declare-fun tmp235 () Real)
+(declare-fun tmp234 () Real)
+(declare-fun tmp233 () Real)
+(declare-fun tmp232 () Real)
+(declare-fun tmp231 () Real)
+(declare-fun tmp230 () Real)
+(declare-fun tmp229 () Real)
+(declare-fun tmp228 () Real)
+(declare-fun tmp227 () Real)
+(declare-fun tmp226 () Real)
+(declare-fun tmp225 () Real)
+(declare-fun tmp224 () Real)
+(declare-fun tmp223 () Real)
+(declare-fun tmp222 () Real)
+(declare-fun tmp221 () Real)
+(declare-fun tmp220 () Real)
+(declare-fun tmp219 () Real)
+(declare-fun tmp218 () Real)
+(declare-fun tmp217 () Real)
+(declare-fun tmp216 () Real)
+(declare-fun tmp215 () Real)
+(declare-fun tmp214 () Real)
+(declare-fun tmp213 () Real)
+(declare-fun tmp212 () Real)
+(declare-fun tmp211 () Real)
+(declare-fun tmp210 () Real)
+(declare-fun tmp209 () Real)
+(declare-fun tmp208 () Real)
+(declare-fun tmp207 () Real)
+(declare-fun tmp206 () Real)
+(declare-fun tmp205 () Real)
+(declare-fun tmp204 () Real)
+(declare-fun tmp203 () Real)
+(declare-fun tmp202 () Real)
+(declare-fun tmp201 () Real)
+(declare-fun tmp200 () Real)
+(declare-fun tmp199 () Real)
+(declare-fun tmp198 () Real)
+(declare-fun tmp197 () Real)
+(declare-fun tmp196 () Real)
+(declare-fun tmp195 () Real)
+(declare-fun tmp194 () Real)
+(declare-fun tmp193 () Real)
+(declare-fun tmp192 () Real)
+(declare-fun tmp191 () Real)
+(declare-fun tmp190 () Real)
+(declare-fun tmp189 () Real)
+(declare-fun tmp188 () Real)
+(declare-fun tmp187 () Real)
+(declare-fun tmp186 () Real)
+(declare-fun tmp185 () Real)
+(declare-fun tmp184 () Real)
+(declare-fun tmp183 () Real)
+(declare-fun tmp182 () Real)
+(declare-fun tmp181 () Real)
+(declare-fun tmp180 () Real)
+(declare-fun tmp179 () Real)
+(declare-fun tmp178 () Real)
+(declare-fun tmp177 () Real)
+(declare-fun tmp176 () Real)
+(declare-fun tmp175 () Real)
+(declare-fun tmp174 () Real)
+(declare-fun tmp173 () Real)
+(declare-fun tmp172 () Real)
+(declare-fun tmp171 () Real)
+(declare-fun tmp170 () Real)
+(declare-fun tmp169 () Real)
+(declare-fun tmp168 () Real)
+(declare-fun tmp167 () Real)
+(declare-fun tmp166 () Real)
+(declare-fun tmp165 () Real)
+(declare-fun tmp164 () Real)
+(declare-fun tmp163 () Real)
+(declare-fun tmp162 () Real)
+(declare-fun tmp161 () Real)
+(declare-fun tmp160 () Real)
+(declare-fun tmp159 () Real)
+(declare-fun tmp158 () Real)
+(declare-fun tmp157 () Real)
+(declare-fun tmp156 () Real)
+(declare-fun tmp155 () Real)
+(declare-fun tmp154 () Real)
+(declare-fun tmp153 () Real)
+(declare-fun tmp152 () Real)
+(declare-fun tmp151 () Real)
+(declare-fun tmp150 () Real)
+(declare-fun tmp149 () Real)
+(declare-fun tmp148 () Real)
+(declare-fun tmp147 () Real)
+(declare-fun tmp146 () Real)
+(declare-fun tmp145 () Real)
+(declare-fun tmp144 () Real)
+(declare-fun tmp143 () Real)
+(declare-fun tmp142 () Real)
+(declare-fun tmp141 () Real)
+(declare-fun tmp140 () Real)
+(declare-fun tmp139 () Real)
+(declare-fun tmp138 () Real)
+(declare-fun tmp137 () Real)
+(declare-fun tmp136 () Real)
+(declare-fun tmp135 () Real)
+(declare-fun tmp134 () Real)
+(declare-fun tmp133 () Real)
+(declare-fun tmp132 () Real)
+(declare-fun tmp131 () Real)
+(declare-fun tmp130 () Real)
+(declare-fun tmp129 () Real)
+(declare-fun tmp128 () Real)
+(declare-fun tmp127 () Real)
+(declare-fun tmp126 () Real)
+(declare-fun tmp125 () Real)
+(declare-fun tmp124 () Real)
+(declare-fun tmp123 () Real)
+(declare-fun tmp122 () Real)
+(declare-fun tmp121 () Real)
+(declare-fun tmp120 () Real)
+(declare-fun tmp119 () Real)
+(declare-fun tmp118 () Real)
+(declare-fun tmp117 () Real)
+(declare-fun tmp116 () Real)
+(declare-fun tmp115 () Real)
+(declare-fun tmp114 () Real)
+(declare-fun tmp113 () Real)
+(declare-fun tmp112 () Real)
+(declare-fun tmp111 () Real)
+(declare-fun tmp110 () Real)
+(declare-fun tmp109 () Real)
+(declare-fun tmp108 () Real)
+(declare-fun tmp107 () Real)
+(declare-fun tmp106 () Real)
+(declare-fun tmp105 () Real)
+(declare-fun tmp104 () Real)
+(declare-fun tmp103 () Real)
+(declare-fun tmp102 () Real)
+(declare-fun tmp101 () Real)
+(declare-fun tmp100 () Real)
+(declare-fun tmp99 () Real)
+(declare-fun tmp98 () Real)
+(declare-fun tmp97 () Real)
+(declare-fun tmp96 () Real)
+(declare-fun tmp95 () Real)
+(declare-fun tmp94 () Real)
+(declare-fun tmp93 () Real)
+(declare-fun tmp92 () Real)
+(declare-fun tmp91 () Real)
+(declare-fun tmp90 () Real)
+(declare-fun tmp89 () Real)
+(declare-fun tmp88 () Real)
+(declare-fun tmp87 () Real)
+(declare-fun tmp86 () Real)
+(declare-fun tmp85 () Real)
+(declare-fun tmp84 () Real)
+(declare-fun tmp83 () Real)
+(declare-fun tmp82 () Real)
+(declare-fun tmp81 () Real)
+(declare-fun tmp80 () Real)
+(declare-fun tmp79 () Real)
+(declare-fun tmp78 () Real)
+(declare-fun tmp77 () Real)
+(declare-fun tmp76 () Real)
+(declare-fun tmp75 () Real)
+(declare-fun tmp74 () Real)
+(declare-fun tmp73 () Real)
+(declare-fun tmp72 () Real)
+(declare-fun tmp71 () Real)
+(declare-fun tmp70 () Real)
+(declare-fun tmp69 () Real)
+(declare-fun tmp68 () Real)
+(declare-fun tmp67 () Real)
+(declare-fun tmp66 () Real)
+(declare-fun tmp65 () Real)
+(declare-fun tmp64 () Real)
+(declare-fun tmp63 () Real)
+(declare-fun tmp62 () Real)
+(declare-fun tmp61 () Real)
+(declare-fun tmp60 () Real)
+(declare-fun tmp59 () Real)
+(declare-fun tmp58 () Real)
+(declare-fun tmp57 () Real)
+(declare-fun tmp56 () Real)
+(declare-fun tmp55 () Real)
+(declare-fun tmp54 () Real)
+(declare-fun tmp53 () Real)
+(declare-fun tmp52 () Real)
+(declare-fun tmp51 () Real)
+(declare-fun tmp50 () Real)
+(declare-fun tmp49 () Real)
+(declare-fun tmp48 () Real)
+(declare-fun tmp47 () Real)
+(declare-fun tmp46 () Real)
+(declare-fun tmp45 () Real)
+(declare-fun tmp44 () Real)
+(declare-fun tmp43 () Real)
+(declare-fun tmp42 () Real)
+(declare-fun tmp41 () Real)
+(declare-fun tmp40 () Real)
+(declare-fun tmp39 () Real)
+(declare-fun tmp38 () Real)
+(declare-fun tmp37 () Real)
+(declare-fun tmp36 () Real)
+(declare-fun tmp35 () Real)
+(declare-fun tmp34 () Real)
+(declare-fun tmp33 () Real)
+(declare-fun tmp32 () Real)
+(declare-fun tmp31 () Real)
+(declare-fun tmp30 () Real)
+(declare-fun tmp29 () Real)
+(declare-fun tmp28 () Real)
+(declare-fun tmp27 () Real)
+(declare-fun tmp26 () Real)
+(declare-fun tmp25 () Real)
+(declare-fun tmp24 () Real)
+(declare-fun tmp23 () Real)
+(declare-fun tmp22 () Real)
+(declare-fun tmp21 () Real)
+(declare-fun tmp20 () Real)
+(declare-fun tmp19 () Real)
+(declare-fun tmp18 () Real)
+(declare-fun tmp17 () Real)
+(declare-fun tmp16 () Real)
+(declare-fun tmp15 () Real)
+(declare-fun tmp14 () Real)
+(declare-fun tmp13 () Real)
+(declare-fun tmp12 () Real)
+(declare-fun tmp11 () Real)
+(declare-fun tmp10 () Real)
+(declare-fun tmp9 () Real)
+(declare-fun tmp8 () Real)
+(declare-fun tmp7 () Real)
+(declare-fun tmp6 () Real)
+(declare-fun tmp5 () Real)
+(declare-fun tmp4 () Real)
+(declare-fun tmp3 () Real)
+(declare-fun tmp2 () Real)
+(declare-fun tmp1 () Real)
+(declare-fun x1 () Real)
+(declare-fun x2 () Bool)
+(declare-fun x3 () Bool)
+(declare-fun x4 () Bool)
+(declare-fun x5 () Bool)
+(declare-fun x6 () Bool)
+(declare-fun x7 () Bool)
+(declare-fun x8 () Bool)
+(declare-fun x9 () Bool)
+(declare-fun x10 () Bool)
+(declare-fun x11 () Bool)
+(declare-fun x12 () Bool)
+(declare-fun x13 () Bool)
+(declare-fun x14 () Bool)
+(declare-fun x15 () Bool)
+(declare-fun x16 () Bool)
+(declare-fun x17 () Bool)
+(declare-fun x18 () Bool)
+(declare-fun x19 () Bool)
+(declare-fun x20 () Bool)
+(declare-fun x21 () Bool)
+(declare-fun x22 () Bool)
+(declare-fun x23 () Bool)
+(declare-fun x24 () Bool)
+(declare-fun x25 () Bool)
+(declare-fun x26 () Bool)
+(declare-fun x27 () Bool)
+(declare-fun x28 () Bool)
+(declare-fun x29 () Bool)
+(declare-fun x30 () Bool)
+(declare-fun x31 () Bool)
+(declare-fun x32 () Bool)
+(declare-fun x33 () Bool)
+(declare-fun x34 () Bool)
+(declare-fun x35 () Bool)
+(declare-fun x36 () Bool)
+(declare-fun x37 () Bool)
+(declare-fun x38 () Bool)
+(declare-fun x39 () Bool)
+(declare-fun x40 () Bool)
+(declare-fun x41 () Bool)
+(declare-fun x42 () Bool)
+(declare-fun x43 () Bool)
+(declare-fun x44 () Bool)
+(declare-fun x45 () Bool)
+(declare-fun x46 () Bool)
+(declare-fun x47 () Bool)
+(declare-fun x48 () Bool)
+(declare-fun x49 () Bool)
+(declare-fun x50 () Bool)
+(declare-fun x51 () Bool)
+(declare-fun x52 () Bool)
+(declare-fun x53 () Bool)
+(declare-fun x54 () Bool)
+(declare-fun x55 () Bool)
+(declare-fun x56 () Bool)
+(declare-fun x57 () Bool)
+(declare-fun x58 () Bool)
+(declare-fun x59 () Bool)
+(declare-fun x60 () Bool)
+(declare-fun x61 () Bool)
+(declare-fun x62 () Bool)
+(declare-fun x63 () Bool)
+(declare-fun x64 () Bool)
+(declare-fun x65 () Bool)
+(declare-fun x66 () Bool)
+(declare-fun x67 () Bool)
+(declare-fun x68 () Bool)
+(declare-fun x69 () Bool)
+(declare-fun x70 () Bool)
+(declare-fun x71 () Bool)
+(declare-fun x72 () Bool)
+(declare-fun x73 () Bool)
+(declare-fun x74 () Bool)
+(declare-fun x75 () Bool)
+(declare-fun x76 () Bool)
+(declare-fun x77 () Bool)
+(declare-fun x78 () Bool)
+(declare-fun x79 () Bool)
+(declare-fun x80 () Bool)
+(declare-fun x81 () Bool)
+(declare-fun x82 () Bool)
+(declare-fun x83 () Bool)
+(declare-fun x84 () Bool)
+(declare-fun x85 () Bool)
+(declare-fun x86 () Bool)
+(declare-fun x87 () Bool)
+(declare-fun x88 () Bool)
+(declare-fun x89 () Bool)
+(declare-fun x90 () Bool)
+(declare-fun x91 () Bool)
+(declare-fun x92 () Bool)
+(declare-fun x93 () Bool)
+(declare-fun x94 () Bool)
+(declare-fun x95 () Bool)
+(declare-fun x96 () Bool)
+(declare-fun x97 () Bool)
+(declare-fun x98 () Bool)
+(declare-fun x99 () Bool)
+(declare-fun x100 () Bool)
+(declare-fun x101 () Bool)
+(declare-fun x102 () Bool)
+(declare-fun x103 () Bool)
+(declare-fun x104 () Bool)
+(declare-fun x105 () Bool)
+(declare-fun x106 () Bool)
+(declare-fun x107 () Bool)
+(declare-fun x108 () Bool)
+(declare-fun x109 () Bool)
+(declare-fun x110 () Bool)
+(declare-fun x111 () Bool)
+(declare-fun x112 () Bool)
+(declare-fun x113 () Bool)
+(declare-fun x114 () Bool)
+(declare-fun x115 () Bool)
+(declare-fun x116 () Bool)
+(declare-fun x117 () Bool)
+(declare-fun x118 () Bool)
+(declare-fun x119 () Bool)
+(declare-fun x120 () Bool)
+(declare-fun x121 () Bool)
+(declare-fun x122 () Bool)
+(declare-fun x123 () Bool)
+(declare-fun x124 () Bool)
+(declare-fun x125 () Bool)
+(declare-fun x126 () Bool)
+(declare-fun x127 () Bool)
+(declare-fun x128 () Bool)
+(declare-fun x129 () Bool)
+(declare-fun x130 () Bool)
+(declare-fun x131 () Bool)
+(declare-fun x132 () Bool)
+(declare-fun x133 () Bool)
+(declare-fun x134 () Bool)
+(declare-fun x135 () Bool)
+(declare-fun x136 () Bool)
+(declare-fun x137 () Bool)
+(declare-fun x138 () Bool)
+(declare-fun x139 () Bool)
+(declare-fun x140 () Bool)
+(declare-fun x141 () Bool)
+(declare-fun x142 () Bool)
+(declare-fun x143 () Bool)
+(declare-fun x144 () Bool)
+(declare-fun x145 () Bool)
+(declare-fun x146 () Bool)
+(declare-fun x147 () Bool)
+(declare-fun x148 () Bool)
+(declare-fun x149 () Bool)
+(declare-fun x150 () Bool)
+(declare-fun x151 () Bool)
+(declare-fun x152 () Bool)
+(declare-fun x153 () Bool)
+(declare-fun x154 () Bool)
+(declare-fun x155 () Bool)
+(declare-fun x156 () Bool)
+(declare-fun x157 () Bool)
+(declare-fun x158 () Bool)
+(declare-fun x159 () Bool)
+(declare-fun x160 () Bool)
+(declare-fun x161 () Bool)
+(declare-fun x162 () Bool)
+(declare-fun x163 () Bool)
+(declare-fun x164 () Bool)
+(declare-fun x165 () Bool)
+(declare-fun x166 () Bool)
+(declare-fun x167 () Bool)
+(declare-fun x168 () Bool)
+(declare-fun x169 () Bool)
+(declare-fun x170 () Bool)
+(declare-fun x171 () Bool)
+(declare-fun x172 () Bool)
+(declare-fun x173 () Bool)
+(declare-fun x174 () Bool)
+(declare-fun x175 () Bool)
+(declare-fun x176 () Bool)
+(declare-fun x177 () Bool)
+(declare-fun x178 () Bool)
+(declare-fun x179 () Bool)
+(declare-fun x180 () Bool)
+(declare-fun x181 () Bool)
+(declare-fun x182 () Bool)
+(declare-fun x183 () Bool)
+(declare-fun x184 () Bool)
+(declare-fun x185 () Bool)
+(declare-fun x186 () Bool)
+(declare-fun x187 () Bool)
+(declare-fun x188 () Bool)
+(declare-fun x189 () Bool)
+(declare-fun x190 () Bool)
+(declare-fun x191 () Bool)
+(declare-fun x192 () Bool)
+(declare-fun x193 () Bool)
+(declare-fun x194 () Bool)
+(declare-fun x195 () Bool)
+(declare-fun x196 () Bool)
+(declare-fun x197 () Bool)
+(declare-fun x198 () Bool)
+(declare-fun x199 () Bool)
+(declare-fun x200 () Bool)
+(declare-fun x201 () Bool)
+(declare-fun x202 () Bool)
+(declare-fun x203 () Bool)
+(declare-fun x204 () Bool)
+(declare-fun x205 () Bool)
+(declare-fun x206 () Bool)
+(declare-fun x207 () Bool)
+(declare-fun x208 () Bool)
+(declare-fun x209 () Bool)
+(declare-fun x210 () Bool)
+(declare-fun x211 () Bool)
+(declare-fun x212 () Bool)
+(declare-fun x213 () Bool)
+(declare-fun x214 () Bool)
+(declare-fun x215 () Bool)
+(declare-fun x216 () Bool)
+(declare-fun x217 () Bool)
+(declare-fun x218 () Bool)
+(declare-fun x219 () Bool)
+(declare-fun x220 () Bool)
+(declare-fun x221 () Bool)
+(declare-fun x222 () Bool)
+(declare-fun x223 () Bool)
+(declare-fun x224 () Bool)
+(declare-fun x225 () Bool)
+(declare-fun x226 () Bool)
+(declare-fun x227 () Bool)
+(declare-fun x228 () Bool)
+(declare-fun x229 () Bool)
+(declare-fun x230 () Bool)
+(declare-fun x231 () Bool)
+(declare-fun x232 () Bool)
+(declare-fun x233 () Bool)
+(declare-fun x234 () Bool)
+(declare-fun x235 () Bool)
+(declare-fun x236 () Bool)
+(declare-fun x237 () Bool)
+(declare-fun x238 () Bool)
+(declare-fun x239 () Bool)
+(declare-fun x240 () Bool)
+(declare-fun x241 () Bool)
+(declare-fun x242 () Bool)
+(declare-fun x243 () Bool)
+(declare-fun x244 () Bool)
+(declare-fun x245 () Bool)
+(declare-fun x246 () Bool)
+(declare-fun x247 () Bool)
+(declare-fun x248 () Bool)
+(declare-fun x249 () Bool)
+(declare-fun x250 () Bool)
+(declare-fun x251 () Bool)
+(declare-fun x252 () Bool)
+(declare-fun x253 () Bool)
+(declare-fun x254 () Bool)
+(declare-fun x255 () Bool)
+(declare-fun x256 () Bool)
+(declare-fun x257 () Bool)
+(declare-fun x258 () Bool)
+(declare-fun x259 () Bool)
+(declare-fun x260 () Bool)
+(declare-fun x261 () Bool)
+(declare-fun x262 () Bool)
+(declare-fun x263 () Bool)
+(declare-fun x264 () Bool)
+(declare-fun x265 () Bool)
+(declare-fun x266 () Bool)
+(declare-fun x267 () Bool)
+(declare-fun x268 () Bool)
+(declare-fun x269 () Bool)
+(declare-fun x270 () Bool)
+(declare-fun x271 () Bool)
+(declare-fun x272 () Bool)
+(declare-fun x273 () Bool)
+(declare-fun x274 () Bool)
+(declare-fun x275 () Bool)
+(declare-fun x276 () Bool)
+(declare-fun x277 () Bool)
+(declare-fun x278 () Bool)
+(declare-fun x279 () Bool)
+(declare-fun x280 () Bool)
+(declare-fun x281 () Bool)
+(declare-fun x282 () Bool)
+(declare-fun x283 () Bool)
+(declare-fun x284 () Bool)
+(declare-fun x285 () Bool)
+(declare-fun x286 () Bool)
+(declare-fun x287 () Bool)
+(declare-fun x288 () Bool)
+(declare-fun x289 () Bool)
+(declare-fun x290 () Bool)
+(declare-fun x291 () Bool)
+(declare-fun x292 () Bool)
+(declare-fun x293 () Bool)
+(declare-fun x294 () Bool)
+(declare-fun x295 () Bool)
+(declare-fun x296 () Bool)
+(declare-fun x297 () Bool)
+(declare-fun x298 () Bool)
+(declare-fun x299 () Bool)
+(declare-fun x300 () Bool)
+(declare-fun x301 () Bool)
+(declare-fun x302 () Bool)
+(declare-fun x303 () Bool)
+(declare-fun x304 () Bool)
+(declare-fun x305 () Bool)
+(declare-fun x306 () Bool)
+(declare-fun x307 () Bool)
+(declare-fun x308 () Bool)
+(declare-fun x309 () Bool)
+(declare-fun x310 () Bool)
+(declare-fun x311 () Bool)
+(declare-fun x312 () Bool)
+(declare-fun x313 () Bool)
+(declare-fun x314 () Bool)
+(declare-fun x315 () Bool)
+(declare-fun x316 () Bool)
+(declare-fun x317 () Bool)
+(declare-fun x318 () Bool)
+(declare-fun x319 () Bool)
+(declare-fun x320 () Bool)
+(declare-fun x321 () Bool)
+(declare-fun x322 () Bool)
+(declare-fun x323 () Bool)
+(declare-fun x324 () Bool)
+(declare-fun x325 () Bool)
+(declare-fun x326 () Bool)
+(declare-fun x327 () Bool)
+(declare-fun x328 () Bool)
+(declare-fun x329 () Bool)
+(declare-fun x330 () Bool)
+(declare-fun x331 () Bool)
+(declare-fun x332 () Bool)
+(declare-fun x333 () Bool)
+(declare-fun x334 () Bool)
+(declare-fun x335 () Bool)
+(declare-fun x336 () Bool)
+(declare-fun x337 () Bool)
+(declare-fun x338 () Bool)
+(declare-fun x339 () Bool)
+(declare-fun x340 () Bool)
+(declare-fun x341 () Bool)
+(declare-fun x342 () Bool)
+(declare-fun x343 () Bool)
+(declare-fun x344 () Bool)
+(declare-fun x345 () Bool)
+(declare-fun x346 () Bool)
+(declare-fun x347 () Bool)
+(declare-fun x348 () Bool)
+(declare-fun x349 () Bool)
+(declare-fun x350 () Bool)
+(declare-fun x351 () Bool)
+(declare-fun x352 () Bool)
+(declare-fun x353 () Bool)
+(declare-fun x354 () Bool)
+(declare-fun x355 () Bool)
+(declare-fun x356 () Bool)
+(declare-fun x357 () Bool)
+(declare-fun x358 () Bool)
+(declare-fun x359 () Bool)
+(declare-fun x360 () Bool)
+(declare-fun x361 () Bool)
+(declare-fun x362 () Bool)
+(declare-fun x363 () Bool)
+(declare-fun x364 () Bool)
+(declare-fun x365 () Bool)
+(declare-fun x366 () Bool)
+(declare-fun x367 () Bool)
+(declare-fun x368 () Bool)
+(declare-fun x369 () Bool)
+(declare-fun x370 () Bool)
+(declare-fun x371 () Bool)
+(declare-fun x372 () Bool)
+(declare-fun x373 () Bool)
+(declare-fun x374 () Bool)
+(declare-fun x375 () Bool)
+(declare-fun x376 () Bool)
+(declare-fun x377 () Bool)
+(declare-fun x378 () Bool)
+(declare-fun x379 () Bool)
+(declare-fun x380 () Bool)
+(declare-fun x381 () Bool)
+(declare-fun x382 () Bool)
+(declare-fun x383 () Bool)
+(declare-fun x384 () Bool)
+(declare-fun x385 () Bool)
+(declare-fun x386 () Bool)
+(declare-fun x387 () Bool)
+(declare-fun x388 () Bool)
+(declare-fun x389 () Bool)
+(declare-fun x390 () Bool)
+(declare-fun x391 () Bool)
+(declare-fun x392 () Bool)
+(declare-fun x393 () Bool)
+(declare-fun x394 () Bool)
+(declare-fun x395 () Bool)
+(declare-fun x396 () Bool)
+(declare-fun x397 () Bool)
+(declare-fun x398 () Bool)
+(declare-fun x399 () Bool)
+(declare-fun x400 () Bool)
+(declare-fun x401 () Bool)
+(declare-fun x402 () Bool)
+(declare-fun x403 () Bool)
+(declare-fun x404 () Bool)
+(declare-fun x405 () Bool)
+(declare-fun x406 () Bool)
+(declare-fun x407 () Bool)
+(declare-fun x408 () Bool)
+(declare-fun x409 () Bool)
+(declare-fun x410 () Bool)
+(declare-fun x411 () Bool)
+(declare-fun x412 () Bool)
+(declare-fun x413 () Bool)
+(declare-fun x414 () Bool)
+(declare-fun x415 () Bool)
+(declare-fun x416 () Bool)
+(declare-fun x417 () Bool)
+(declare-fun x418 () Bool)
+(declare-fun x419 () Bool)
+(declare-fun x420 () Bool)
+(declare-fun x421 () Bool)
+(declare-fun x422 () Bool)
+(declare-fun x423 () Bool)
+(declare-fun x424 () Bool)
+(declare-fun x425 () Bool)
+(declare-fun x426 () Bool)
+(declare-fun x427 () Bool)
+(declare-fun x428 () Bool)
+(declare-fun x429 () Bool)
+(declare-fun x430 () Bool)
+(declare-fun x431 () Bool)
+(declare-fun x432 () Bool)
+(declare-fun x433 () Bool)
+(declare-fun x434 () Bool)
+(declare-fun x435 () Bool)
+(declare-fun x436 () Bool)
+(declare-fun x437 () Bool)
+(declare-fun x438 () Bool)
+(declare-fun x439 () Bool)
+(declare-fun x440 () Bool)
+(declare-fun x441 () Bool)
+(declare-fun x442 () Bool)
+(declare-fun x443 () Bool)
+(declare-fun x444 () Bool)
+(declare-fun x445 () Bool)
+(declare-fun x446 () Bool)
+(declare-fun x447 () Bool)
+(declare-fun x448 () Bool)
+(declare-fun x449 () Bool)
+(declare-fun x450 () Bool)
+(declare-fun x451 () Bool)
+(declare-fun x452 () Bool)
+(declare-fun x453 () Bool)
+(declare-fun x454 () Bool)
+(declare-fun x455 () Bool)
+(declare-fun x456 () Bool)
+(declare-fun x457 () Bool)
+(declare-fun x458 () Bool)
+(declare-fun x459 () Bool)
+(declare-fun x460 () Bool)
+(declare-fun x461 () Bool)
+(declare-fun x462 () Bool)
+(declare-fun x463 () Bool)
+(declare-fun x464 () Bool)
+(declare-fun x465 () Bool)
+(declare-fun x466 () Bool)
+(declare-fun x467 () Bool)
+(declare-fun x468 () Bool)
+(declare-fun x469 () Bool)
+(declare-fun x470 () Bool)
+(declare-fun x471 () Bool)
+(declare-fun x472 () Bool)
+(declare-fun x473 () Bool)
+(declare-fun x474 () Bool)
+(declare-fun x475 () Bool)
+(declare-fun x476 () Bool)
+(declare-fun x477 () Bool)
+(declare-fun x478 () Bool)
+(declare-fun x479 () Bool)
+(declare-fun x480 () Bool)
+(declare-fun x481 () Bool)
+(declare-fun x482 () Bool)
+(declare-fun x483 () Bool)
+(declare-fun x484 () Bool)
+(declare-fun x485 () Bool)
+(declare-fun x486 () Bool)
+(declare-fun x487 () Bool)
+(declare-fun x488 () Bool)
+(declare-fun x489 () Bool)
+(declare-fun x490 () Bool)
+(declare-fun x491 () Bool)
+(declare-fun x492 () Bool)
+(declare-fun x493 () Bool)
+(declare-fun x494 () Bool)
+(declare-fun x495 () Bool)
+(declare-fun x496 () Bool)
+(declare-fun x497 () Bool)
+(declare-fun x498 () Bool)
+(declare-fun x499 () Bool)
+(declare-fun x500 () Bool)
+(declare-fun x501 () Bool)
+(declare-fun x502 () Bool)
+(declare-fun x503 () Bool)
+(declare-fun x504 () Bool)
+(declare-fun x505 () Bool)
+(declare-fun x506 () Bool)
+(declare-fun x507 () Bool)
+(declare-fun x508 () Bool)
+(declare-fun x509 () Bool)
+(declare-fun x510 () Bool)
+(declare-fun x511 () Bool)
+(declare-fun x512 () Bool)
+(declare-fun x513 () Bool)
+(declare-fun x514 () Bool)
+(declare-fun x515 () Bool)
+(declare-fun x516 () Bool)
+(declare-fun x517 () Bool)
+(declare-fun x518 () Bool)
+(declare-fun x519 () Bool)
+(declare-fun x520 () Bool)
+(declare-fun x521 () Bool)
+(declare-fun x522 () Bool)
+(declare-fun x523 () Bool)
+(declare-fun x524 () Bool)
+(declare-fun x525 () Bool)
+(declare-fun x526 () Bool)
+(declare-fun x527 () Bool)
+(declare-fun x528 () Bool)
+(declare-fun x529 () Bool)
+(declare-fun x530 () Bool)
+(declare-fun x531 () Bool)
+(declare-fun x532 () Bool)
+(declare-fun x533 () Bool)
+(declare-fun x534 () Bool)
+(declare-fun x535 () Bool)
+(declare-fun x536 () Bool)
+(declare-fun x537 () Bool)
+(declare-fun x538 () Bool)
+(declare-fun x539 () Bool)
+(declare-fun x540 () Bool)
+(declare-fun x541 () Bool)
+(declare-fun x542 () Bool)
+(declare-fun x543 () Bool)
+(declare-fun x544 () Bool)
+(declare-fun x545 () Bool)
+(declare-fun x546 () Bool)
+(declare-fun x547 () Bool)
+(declare-fun x548 () Bool)
+(declare-fun x549 () Bool)
+(declare-fun x550 () Bool)
+(declare-fun x551 () Bool)
+(declare-fun x552 () Bool)
+(declare-fun x553 () Bool)
+(declare-fun x554 () Bool)
+(declare-fun x555 () Bool)
+(declare-fun x556 () Bool)
+(declare-fun x557 () Bool)
+(declare-fun x558 () Bool)
+(declare-fun x559 () Bool)
+(declare-fun x560 () Bool)
+(declare-fun x561 () Bool)
+(declare-fun x562 () Bool)
+(declare-fun x563 () Bool)
+(declare-fun x564 () Bool)
+(declare-fun x565 () Bool)
+(declare-fun x566 () Bool)
+(declare-fun x567 () Bool)
+(declare-fun x568 () Bool)
+(declare-fun x569 () Bool)
+(declare-fun x570 () Bool)
+(declare-fun x571 () Bool)
+(declare-fun x572 () Bool)
+(declare-fun x573 () Bool)
+(declare-fun x574 () Bool)
+(declare-fun x575 () Bool)
+(declare-fun x576 () Bool)
+(declare-fun x577 () Bool)
+(declare-fun x578 () Bool)
+(declare-fun x579 () Bool)
+(declare-fun x580 () Bool)
+(declare-fun x581 () Bool)
+(declare-fun x582 () Bool)
+(declare-fun x583 () Bool)
+(declare-fun x584 () Bool)
+(declare-fun x585 () Bool)
+(declare-fun x586 () Bool)
+(declare-fun x587 () Bool)
+(declare-fun x588 () Bool)
+(declare-fun x589 () Bool)
+(declare-fun x590 () Bool)
+(declare-fun x591 () Bool)
+(declare-fun x592 () Bool)
+(declare-fun x593 () Bool)
+(declare-fun x594 () Bool)
+(declare-fun x595 () Bool)
+(declare-fun x596 () Bool)
+(declare-fun x597 () Bool)
+(declare-fun x598 () Bool)
+(declare-fun x599 () Bool)
+(declare-fun x600 () Bool)
+(declare-fun x601 () Bool)
+(declare-fun x602 () Bool)
+(declare-fun x603 () Bool)
+(declare-fun x604 () Bool)
+(declare-fun x605 () Bool)
+(declare-fun x606 () Bool)
+(declare-fun x607 () Bool)
+(declare-fun x608 () Bool)
+(declare-fun x609 () Bool)
+(declare-fun x610 () Bool)
+(declare-fun x611 () Bool)
+(declare-fun x612 () Bool)
+(declare-fun x613 () Bool)
+(declare-fun x614 () Bool)
+(declare-fun x615 () Bool)
+(declare-fun x616 () Bool)
+(declare-fun x617 () Bool)
+(declare-fun x618 () Bool)
+(declare-fun x619 () Bool)
+(declare-fun x620 () Bool)
+(declare-fun x621 () Bool)
+(declare-fun x622 () Bool)
+(declare-fun x623 () Bool)
+(declare-fun x624 () Bool)
+(declare-fun x625 () Bool)
+(declare-fun x626 () Bool)
+(declare-fun x627 () Bool)
+(declare-fun x628 () Bool)
+(declare-fun x629 () Bool)
+(declare-fun x630 () Bool)
+(declare-fun x631 () Bool)
+(declare-fun x632 () Bool)
+(declare-fun x633 () Bool)
+(declare-fun x634 () Bool)
+(declare-fun x635 () Bool)
+(declare-fun x636 () Bool)
+(declare-fun x637 () Bool)
+(declare-fun x638 () Bool)
+(declare-fun x639 () Bool)
+(declare-fun x640 () Bool)
+(declare-fun x641 () Bool)
+(declare-fun x642 () Bool)
+(declare-fun x643 () Bool)
+(declare-fun x644 () Bool)
+(declare-fun x645 () Bool)
+(declare-fun x646 () Bool)
+(declare-fun x647 () Bool)
+(declare-fun x648 () Bool)
+(declare-fun x649 () Bool)
+(declare-fun x650 () Bool)
+(declare-fun x651 () Bool)
+(declare-fun x652 () Bool)
+(declare-fun x653 () Bool)
+(declare-fun x654 () Bool)
+(declare-fun x655 () Bool)
+(declare-fun x656 () Bool)
+(declare-fun x657 () Bool)
+(declare-fun x658 () Bool)
+(declare-fun x659 () Bool)
+(declare-fun x660 () Bool)
+(declare-fun x661 () Bool)
+(declare-fun x662 () Bool)
+(declare-fun x663 () Bool)
+(declare-fun x664 () Bool)
+(declare-fun x665 () Bool)
+(declare-fun x666 () Bool)
+(declare-fun x667 () Bool)
+(declare-fun x668 () Bool)
+(declare-fun x669 () Bool)
+(declare-fun x670 () Bool)
+(declare-fun x671 () Bool)
+(declare-fun x672 () Bool)
+(declare-fun x673 () Bool)
+(declare-fun x674 () Bool)
+(declare-fun x675 () Bool)
+(declare-fun x676 () Bool)
+(declare-fun x677 () Bool)
+(declare-fun x678 () Bool)
+(declare-fun x679 () Bool)
+(declare-fun x680 () Bool)
+(declare-fun x681 () Bool)
+(declare-fun x682 () Bool)
+(declare-fun x683 () Bool)
+(declare-fun x684 () Bool)
+(declare-fun x685 () Bool)
+(declare-fun x686 () Bool)
+(declare-fun x687 () Bool)
+(declare-fun x688 () Bool)
+(declare-fun x689 () Bool)
+(declare-fun x690 () Bool)
+(declare-fun x691 () Bool)
+(declare-fun x692 () Bool)
+(declare-fun x693 () Bool)
+(declare-fun x694 () Bool)
+(declare-fun x695 () Bool)
+(declare-fun x696 () Bool)
+(declare-fun x697 () Bool)
+(declare-fun x698 () Bool)
+(declare-fun x699 () Bool)
+(declare-fun x700 () Bool)
+(declare-fun x701 () Bool)
+(declare-fun x702 () Bool)
+(declare-fun x703 () Bool)
+(declare-fun x704 () Bool)
+(declare-fun x705 () Bool)
+(declare-fun x706 () Bool)
+(declare-fun x707 () Bool)
+(declare-fun x708 () Bool)
+(declare-fun x709 () Bool)
+(declare-fun x710 () Bool)
+(declare-fun x711 () Bool)
+(declare-fun x712 () Bool)
+(declare-fun x713 () Bool)
+(declare-fun x714 () Bool)
+(declare-fun x715 () Bool)
+(declare-fun x716 () Bool)
+(declare-fun x717 () Bool)
+(declare-fun x718 () Bool)
+(declare-fun x719 () Bool)
+(declare-fun x720 () Bool)
+(declare-fun x721 () Bool)
+(declare-fun x722 () Bool)
+(declare-fun x723 () Bool)
+(declare-fun x724 () Bool)
+(declare-fun x725 () Bool)
+(declare-fun x726 () Bool)
+(declare-fun x727 () Bool)
+(declare-fun x728 () Bool)
+(declare-fun x729 () Bool)
+(declare-fun x730 () Bool)
+(declare-fun x731 () Bool)
+(declare-fun x732 () Bool)
+(declare-fun x733 () Bool)
+(declare-fun x734 () Bool)
+(declare-fun x735 () Bool)
+(declare-fun x736 () Bool)
+(declare-fun x737 () Bool)
+(declare-fun x738 () Bool)
+(declare-fun x739 () Bool)
+(declare-fun x740 () Bool)
+(declare-fun x741 () Bool)
+(declare-fun x742 () Bool)
+(declare-fun x743 () Bool)
+(declare-fun x744 () Bool)
+(declare-fun x745 () Bool)
+(declare-fun x746 () Bool)
+(declare-fun x747 () Bool)
+(declare-fun x748 () Bool)
+(declare-fun x749 () Bool)
+(declare-fun x750 () Bool)
+(declare-fun x751 () Bool)
+(declare-fun x752 () Bool)
+(declare-fun x753 () Bool)
+(declare-fun x754 () Bool)
+(declare-fun x755 () Bool)
+(declare-fun x756 () Bool)
+(declare-fun x757 () Bool)
+(declare-fun x758 () Bool)
+(declare-fun x759 () Bool)
+(declare-fun x760 () Bool)
+(declare-fun x761 () Bool)
+(declare-fun x762 () Bool)
+(declare-fun x763 () Bool)
+(declare-fun x764 () Bool)
+(declare-fun x765 () Bool)
+(declare-fun x766 () Bool)
+(declare-fun x767 () Bool)
+(declare-fun x768 () Bool)
+(declare-fun x769 () Bool)
+(assert (let ((?v_1 (not x474)) (?v_1953 (not x427))) (let ((?v_2 (and ?v_1953 true)) (?v_4 (and x427 true)) (?v_3 (= tmp766 1)) (?v_5 (not x331)) (?v_1995 (not x379))) (let ((?v_6 (and ?v_1995 true)) (?v_8 (and x379 true)) (?v_7 (= tmp765 1)) (?v_9 (not x569)) (?v_1850 (not x521))) (let ((?v_10 (and ?v_1850 true)) (?v_12 (and x521 true)) (?v_11 (= tmp764 1)) (?v_13 (not x239)) (?v_2102 (not x283))) (let ((?v_14 (and ?v_2102 true)) (?v_16 (and x283 true)) (?v_15 (= tmp763 1)) (?v_17 (not x664)) (?v_1741 (not x616))) (let ((?v_18 (and ?v_1741 true)) (?v_20 (and x616 true)) (?v_19 (= tmp762 1)) (?v_21 (not x143)) (?v_2213 (not x191))) (let ((?v_22 (and ?v_2213 true)) (?v_24 (and x191 true)) (?v_23 (= tmp761 1)) (?v_25 (not x759)) (?v_1638 (not x711))) (let ((?v_26 (and ?v_1638 true)) (?v_28 (and x711 true)) (?v_27 (= tmp760 1)) (?v_29 (not x48)) (?v_2309 (not x96))) (let ((?v_30 (and ?v_2309 true)) (?v_32 (and x96 true)) (?v_31 (= tmp759 1)) (?v_33 (not x473)) (?v_34 (and (not x426) true)) (?v_36 (and x426 true)) (?v_35 (= tmp758 1)) (?v_37 (not x330)) (?v_38 (and (not x378) true)) (?v_40 (and x378 true)) (?v_39 (= tmp757 1)) (?v_41 (not x568)) (?v_42 (and (not x520) true)) (?v_44 (and x520 true)) (?v_43 (= tmp756 1)) (?v_45 (not x238)) (?v_46 (and (not x282) true)) (?v_48 (and x282 true)) (?v_47 (= tmp755 1)) (?v_49 (not x663)) (?v_50 (and (not x615) true)) (?v_52 (and x615 true)) (?v_51 (= tmp754 1)) (?v_53 (not x142)) (?v_54 (and (not x190) true)) (?v_56 (and x190 true)) (?v_55 (= tmp753 1)) (?v_57 (not x758)) (?v_58 (and (not x710) true)) (?v_60 (and x710 true)) (?v_59 (= tmp752 1)) (?v_61 (not x47)) (?v_62 (and (not x95) true)) (?v_64 (and x95 true)) (?v_63 (= tmp751 1)) (?v_65 (not x472)) (?v_1949 (not x425))) (let ((?v_66 (and ?v_1949 true)) (?v_68 (and x425 true)) (?v_67 (= tmp750 1)) (?v_69 (not x329)) (?v_1992 (not x377))) (let ((?v_70 (and ?v_1992 true)) (?v_72 (and x377 true)) (?v_71 (= tmp749 1)) (?v_73 (not x567)) (?v_1848 (not x519))) (let ((?v_74 (and ?v_1848 true)) (?v_76 (and x519 true)) (?v_75 (= tmp748 1)) (?v_77 (not x237)) (?v_2098 (not x281))) (let ((?v_78 (and ?v_2098 true)) (?v_80 (and x281 true)) (?v_79 (= tmp747 1)) (?v_81 (not x662)) (?v_1737 (not x614))) (let ((?v_82 (and ?v_1737 true)) (?v_84 (and x614 true)) (?v_83 (= tmp746 1)) (?v_85 (not x141)) (?v_2210 (not x189))) (let ((?v_86 (and ?v_2210 true)) (?v_88 (and x189 true)) (?v_87 (= tmp745 1)) (?v_89 (not x757)) (?v_1634 (not x709))) (let ((?v_90 (and ?v_1634 true)) (?v_92 (and x709 true)) (?v_91 (= tmp744 1)) (?v_93 (not x46)) (?v_2307 (not x94))) (let ((?v_94 (and ?v_2307 true)) (?v_96 (and x94 true)) (?v_95 (= tmp743 1)) (?v_97 (not x471)) (?v_98 (and (not x424) true)) (?v_100 (and x424 true)) (?v_99 (= tmp742 1)) (?v_101 (not x328)) (?v_102 (and (not x376) true)) (?v_104 (and x376 true)) (?v_103 (= tmp741 1)) (?v_105 (not x566)) (?v_106 (and (not x518) true)) (?v_108 (and x518 true)) (?v_107 (= tmp740 1)) (?v_109 (not x236)) (?v_110 (and (not x280) true)) (?v_112 (and x280 true)) (?v_111 (= tmp739 1)) (?v_113 (not x661)) (?v_114 (and (not x613) true)) (?v_116 (and x613 true)) (?v_115 (= tmp738 1)) (?v_117 (not x140)) (?v_118 (and (not x188) true)) (?v_120 (and x188 true)) (?v_119 (= tmp737 1)) (?v_121 (not x756)) (?v_122 (and (not x708) true)) (?v_124 (and x708 true)) (?v_123 (= tmp736 1)) (?v_125 (not x45)) (?v_126 (and (not x93) true)) (?v_128 (and x93 true)) (?v_127 (= tmp735 1)) (?v_129 (not x470)) (?v_1946 (not x423))) (let ((?v_130 (and ?v_1946 true)) (?v_132 (and x423 true)) (?v_131 (= tmp734 1)) (?v_133 (not x327)) (?v_1990 (not x375))) (let ((?v_134 (and ?v_1990 true)) (?v_136 (and x375 true)) (?v_135 (= tmp733 1)) (?v_137 (not x565)) (?v_1845 (not x517))) (let ((?v_138 (and ?v_1845 true)) (?v_140 (and x517 true)) (?v_139 (= tmp732 1)) (?v_141 (not x235)) (?v_2095 (not x279))) (let ((?v_142 (and ?v_2095 true)) (?v_144 (and x279 true)) (?v_143 (= tmp731 1)) (?v_145 (not x660)) (?v_1733 (not x612))) (let ((?v_146 (and ?v_1733 true)) (?v_148 (and x612 true)) (?v_147 (= tmp730 1)) (?v_149 (not x139)) (?v_2207 (not x187))) (let ((?v_150 (and ?v_2207 true)) (?v_152 (and x187 true)) (?v_151 (= tmp729 1)) (?v_153 (not x755)) (?v_1631 (not x707))) (let ((?v_154 (and ?v_1631 true)) (?v_156 (and x707 true)) (?v_155 (= tmp728 1)) (?v_157 (not x44)) (?v_2304 (not x92))) (let ((?v_158 (and ?v_2304 true)) (?v_160 (and x92 true)) (?v_159 (= tmp727 1)) (?v_161 (not x469)) (?v_162 (and (not x422) true)) (?v_164 (and x422 true)) (?v_163 (= tmp726 1)) (?v_165 (not x326)) (?v_166 (and (not x374) true)) (?v_168 (and x374 true)) (?v_167 (= tmp725 1)) (?v_169 (not x564)) (?v_170 (and (not x516) true)) (?v_172 (and x516 true)) (?v_171 (= tmp724 1)) (?v_173 (not x234)) (?v_174 (and (not x278) true)) (?v_176 (and x278 true)) (?v_175 (= tmp723 1)) (?v_177 (not x659)) (?v_178 (and (not x611) true)) (?v_180 (and x611 true)) (?v_179 (= tmp722 1)) (?v_181 (not x138)) (?v_182 (and (not x186) true)) (?v_184 (and x186 true)) (?v_183 (= tmp721 1)) (?v_185 (not x754)) (?v_186 (and (not x706) true)) (?v_188 (and x706 true)) (?v_187 (= tmp720 1)) (?v_189 (not x43)) (?v_190 (and (not x91) true)) (?v_192 (and x91 true)) (?v_191 (= tmp719 1)) (?v_193 (not x468)) (?v_1942 (not x421))) (let ((?v_194 (and ?v_1942 true)) (?v_196 (and x421 true)) (?v_195 (= tmp718 1)) (?v_197 (not x325)) (?v_1987 (not x373))) (let ((?v_198 (and ?v_1987 true)) (?v_200 (and x373 true)) (?v_199 (= tmp717 1)) (?v_201 (not x563)) (?v_1843 (not x515))) (let ((?v_202 (and ?v_1843 true)) (?v_204 (and x515 true)) (?v_203 (= tmp716 1)) (?v_205 (not x233)) (?v_2092 (not x277))) (let ((?v_206 (and ?v_2092 true)) (?v_208 (and x277 true)) (?v_207 (= tmp715 1)) (?v_209 (not x658)) (?v_1729 (not x610))) (let ((?v_210 (and ?v_1729 true)) (?v_212 (and x610 true)) (?v_211 (= tmp714 1)) (?v_213 (not x137)) (?v_2204 (not x185))) (let ((?v_214 (and ?v_2204 true)) (?v_216 (and x185 true)) (?v_215 (= tmp713 1)) (?v_217 (not x753)) (?v_1626 (not x705))) (let ((?v_218 (and ?v_1626 true)) (?v_220 (and x705 true)) (?v_219 (= tmp712 1)) (?v_221 (not x42)) (?v_2300 (not x90))) (let ((?v_222 (and ?v_2300 true)) (?v_224 (and x90 true)) (?v_223 (= tmp711 1)) (?v_225 (not x467)) (?v_226 (and (not x420) true)) (?v_228 (and x420 true)) (?v_227 (= tmp710 1)) (?v_229 (not x324)) (?v_230 (and (not x372) true)) (?v_232 (and x372 true)) (?v_231 (= tmp709 1)) (?v_233 (not x562)) (?v_234 (and (not x514) true)) (?v_236 (and x514 true)) (?v_235 (= tmp708 1)) (?v_237 (not x232)) (?v_238 (and (not x276) true)) (?v_240 (and x276 true)) (?v_239 (= tmp707 1)) (?v_241 (not x704)) (?v_242 (and (not x657) true)) (?v_244 (and x657 true)) (?v_243 (= tmp706 1)) (?v_245 (not x136)) (?v_246 (and (not x184) true)) (?v_248 (and x184 true)) (?v_247 (= tmp705 1)) (?v_249 (not x769)) (?v_250 (and (not x752) true)) (?v_252 (and x752 true)) (?v_251 (= tmp704 1)) (?v_253 (not x41)) (?v_254 (and (not x89) true)) (?v_256 (and x89 true)) (?v_255 (= tmp703 1)) (?v_257 (not x466)) (?v_1938 (not x419))) (let ((?v_258 (and ?v_1938 true)) (?v_260 (and x419 true)) (?v_259 (= tmp702 1)) (?v_261 (not x323)) (?v_1981 (not x371))) (let ((?v_262 (and ?v_1981 true)) (?v_264 (and x371 true)) (?v_263 (= tmp701 1)) (?v_265 (not x561)) (?v_1839 (not x513))) (let ((?v_266 (and ?v_1839 true)) (?v_268 (and x513 true)) (?v_267 (= tmp700 1)) (?v_269 (not x231)) (?v_2088 (not x275))) (let ((?v_270 (and ?v_2088 true)) (?v_272 (and x275 true)) (?v_271 (= tmp699 1)) (?v_273 (not x656)) (?v_274 (and (not x609) true)) (?v_276 (and x609 true)) (?v_275 (= tmp698 1)) (?v_277 (not x135)) (?v_2200 (not x183))) (let ((?v_278 (and ?v_2200 true)) (?v_280 (and x183 true)) (?v_279 (= tmp697 1)) (?v_281 (not x751)) (?v_1620 (not x703))) (let ((?v_282 (and ?v_1620 true)) (?v_284 (and x703 true)) (?v_283 (= tmp696 1)) (?v_285 (not x40)) (?v_2297 (not x88))) (let ((?v_286 (and ?v_2297 true)) (?v_288 (and x88 true)) (?v_287 (= tmp695 1)) (?v_289 (not x465)) (?v_290 (and (not x418) true)) (?v_292 (and x418 true)) (?v_291 (= tmp694 1)) (?v_293 (not x322)) (?v_294 (and (not x370) true)) (?v_296 (and x370 true)) (?v_295 (= tmp693 1)) (?v_297 (not x560)) (?v_298 (and (not x512) true)) (?v_300 (and x512 true)) (?v_299 (= tmp692 1)) (?v_301 (not x230)) (?v_302 (and (not x274) true)) (?v_304 (and x274 true)) (?v_303 (= tmp691 1)) (?v_305 (not x655)) (?v_1724 (not x608))) (let ((?v_306 (and ?v_1724 true)) (?v_308 (and x608 true)) (?v_307 (= tmp690 1)) (?v_309 (not x134)) (?v_310 (and (not x182) true)) (?v_312 (and x182 true)) (?v_311 (= tmp689 1)) (?v_313 (not x750)) (?v_314 (and (not x702) true)) (?v_316 (and x702 true)) (?v_315 (= tmp688 1)) (?v_317 (not x39)) (?v_318 (and (not x87) true)) (?v_320 (and x87 true)) (?v_319 (= tmp687 1)) (?v_321 (not x464)) (?v_1935 (not x417))) (let ((?v_322 (and ?v_1935 true)) (?v_324 (and x417 true)) (?v_323 (= tmp686 1)) (?v_325 (not x321)) (?v_1977 (not x369))) (let ((?v_326 (and ?v_1977 true)) (?v_328 (and x369 true)) (?v_327 (= tmp685 1)) (?v_329 (not x559)) (?v_1835 (not x511))) (let ((?v_330 (and ?v_1835 true)) (?v_332 (and x511 true)) (?v_331 (= tmp684 1)) (?v_333 (not x229)) (?v_2084 (not x273))) (let ((?v_334 (and ?v_2084 true)) (?v_336 (and x273 true)) (?v_335 (= tmp683 1)) (?v_337 (not x654)) (?v_338 (and (not x607) true)) (?v_340 (and x607 true)) (?v_339 (= tmp682 1)) (?v_341 (not x133)) (?v_2196 (not x181))) (let ((?v_342 (and ?v_2196 true)) (?v_344 (and x181 true)) (?v_343 (= tmp681 1)) (?v_345 (not x749)) (?v_1616 (not x701))) (let ((?v_346 (and ?v_1616 true)) (?v_348 (and x701 true)) (?v_347 (= tmp680 1)) (?v_349 (not x38)) (?v_2293 (not x86))) (let ((?v_350 (and ?v_2293 true)) (?v_352 (and x86 true)) (?v_351 (= tmp679 1)) (?v_353 (not x463)) (?v_354 (and (not x416) true)) (?v_356 (and x416 true)) (?v_355 (= tmp678 1)) (?v_357 (not x320)) (?v_358 (and (not x368) true)) (?v_360 (and x368 true)) (?v_359 (= tmp677 1)) (?v_361 (not x558)) (?v_362 (and (not x510) true)) (?v_364 (and x510 true)) (?v_363 (= tmp676 1)) (?v_365 (not x228)) (?v_366 (and (not x272) true)) (?v_368 (and x272 true)) (?v_367 (= tmp675 1)) (?v_369 (not x653)) (?v_1719 (not x606))) (let ((?v_370 (and ?v_1719 true)) (?v_372 (and x606 true)) (?v_371 (= tmp674 1)) (?v_373 (not x132)) (?v_374 (and (not x180) true)) (?v_376 (and x180 true)) (?v_375 (= tmp673 1)) (?v_377 (not x748)) (?v_378 (and (not x700) true)) (?v_380 (and x700 true)) (?v_379 (= tmp672 1)) (?v_381 (not x37)) (?v_382 (and (not x85) true)) (?v_384 (and x85 true)) (?v_383 (= tmp671 1)) (?v_385 (not x462)) (?v_1931 (not x415))) (let ((?v_386 (and ?v_1931 true)) (?v_388 (and x415 true)) (?v_387 (= tmp670 1)) (?v_389 (not x319)) (?v_1974 (not x367))) (let ((?v_390 (and ?v_1974 true)) (?v_392 (and x367 true)) (?v_391 (= tmp669 1)) (?v_393 (not x557)) (?v_1831 (not x509))) (let ((?v_394 (and ?v_1831 true)) (?v_396 (and x509 true)) (?v_395 (= tmp668 1)) (?v_397 (not x227)) (?v_2081 (not x271))) (let ((?v_398 (and ?v_2081 true)) (?v_400 (and x271 true)) (?v_399 (= tmp667 1)) (?v_401 (not x652)) (?v_402 (and (not x605) true)) (?v_404 (and x605 true)) (?v_403 (= tmp666 1)) (?v_405 (not x131)) (?v_2193 (not x179))) (let ((?v_406 (and ?v_2193 true)) (?v_408 (and x179 true)) (?v_407 (= tmp665 1)) (?v_409 (not x747)) (?v_1615 (not x699))) (let ((?v_410 (and ?v_1615 true)) (?v_412 (and x699 true)) (?v_411 (= tmp664 1)) (?v_413 (not x36)) (?v_2289 (not x84))) (let ((?v_414 (and ?v_2289 true)) (?v_416 (and x84 true)) (?v_415 (= tmp663 1)) (?v_417 (not x461)) (?v_418 (and (not x414) true)) (?v_420 (and x414 true)) (?v_419 (= tmp662 1)) (?v_421 (not x318)) (?v_422 (and (not x366) true)) (?v_424 (and x366 true)) (?v_423 (= tmp661 1)) (?v_425 (not x556)) (?v_426 (and (not x508) true)) (?v_428 (and x508 true)) (?v_427 (= tmp660 1)) (?v_429 (not x226)) (?v_430 (and (not x270) true)) (?v_432 (and x270 true)) (?v_431 (= tmp659 1)) (?v_433 (not x651)) (?v_1716 (not x604))) (let ((?v_434 (and ?v_1716 true)) (?v_436 (and x604 true)) (?v_435 (= tmp658 1)) (?v_437 (not x130)) (?v_438 (and (not x178) true)) (?v_440 (and x178 true)) (?v_439 (= tmp657 1)) (?v_441 (not x746)) (?v_442 (and (not x698) true)) (?v_444 (and x698 true)) (?v_443 (= tmp656 1)) (?v_445 (not x35)) (?v_446 (and (not x83) true)) (?v_448 (and x83 true)) (?v_447 (= tmp655 1)) (?v_449 (not x460)) (?v_1927 (not x413))) (let ((?v_450 (and ?v_1927 true)) (?v_452 (and x413 true)) (?v_451 (= tmp654 1)) (?v_453 (not x317)) (?v_1971 (not x365))) (let ((?v_454 (and ?v_1971 true)) (?v_456 (and x365 true)) (?v_455 (= tmp653 1)) (?v_457 (not x555)) (?v_1826 (not x507))) (let ((?v_458 (and ?v_1826 true)) (?v_460 (and x507 true)) (?v_459 (= tmp652 1)) (?v_461 (not x225)) (?v_2077 (not x269))) (let ((?v_462 (and ?v_2077 true)) (?v_464 (and x269 true)) (?v_463 (= tmp651 1)) (?v_465 (not x650)) (?v_466 (and (not x603) true)) (?v_468 (and x603 true)) (?v_467 (= tmp650 1)) (?v_469 (not x129)) (?v_2190 (not x177))) (let ((?v_470 (and ?v_2190 true)) (?v_472 (and x177 true)) (?v_471 (= tmp649 1)) (?v_473 (not x745)) (?v_1611 (not x697))) (let ((?v_474 (and ?v_1611 true)) (?v_476 (and x697 true)) (?v_475 (= tmp648 1)) (?v_477 (not x34)) (?v_2287 (not x82))) (let ((?v_478 (and ?v_2287 true)) (?v_480 (and x82 true)) (?v_479 (= tmp647 1)) (?v_481 (not x459)) (?v_482 (and (not x412) true)) (?v_484 (and x412 true)) (?v_483 (= tmp646 1)) (?v_485 (not x316)) (?v_486 (and (not x364) true)) (?v_488 (and x364 true)) (?v_487 (= tmp645 1)) (?v_489 (not x554)) (?v_490 (and (not x506) true)) (?v_492 (and x506 true)) (?v_491 (= tmp644 1)) (?v_493 (not x224)) (?v_494 (and (not x268) true)) (?v_496 (and x268 true)) (?v_495 (= tmp643 1)) (?v_497 (not x649)) (?v_1713 (not x602))) (let ((?v_498 (and ?v_1713 true)) (?v_500 (and x602 true)) (?v_499 (= tmp642 1)) (?v_501 (not x128)) (?v_502 (and (not x176) true)) (?v_504 (and x176 true)) (?v_503 (= tmp641 1)) (?v_505 (not x744)) (?v_506 (and (not x696) true)) (?v_508 (and x696 true)) (?v_507 (= tmp640 1)) (?v_509 (not x33)) (?v_510 (and (not x81) true)) (?v_512 (and x81 true)) (?v_511 (= tmp639 1)) (?v_513 (not x458)) (?v_1923 (not x411))) (let ((?v_514 (and ?v_1923 true)) (?v_516 (and x411 true)) (?v_515 (= tmp638 1)) (?v_517 (not x315)) (?v_1968 (not x363))) (let ((?v_518 (and ?v_1968 true)) (?v_520 (and x363 true)) (?v_519 (= tmp637 1)) (?v_521 (not x553)) (?v_1822 (not x505))) (let ((?v_522 (and ?v_1822 true)) (?v_524 (and x505 true)) (?v_523 (= tmp636 1)) (?v_525 (not x223)) (?v_2073 (not x267))) (let ((?v_526 (and ?v_2073 true)) (?v_528 (and x267 true)) (?v_527 (= tmp635 1)) (?v_529 (not x648)) (?v_530 (and (not x601) true)) (?v_532 (and x601 true)) (?v_531 (= tmp634 1)) (?v_533 (not x127)) (?v_2187 (not x175))) (let ((?v_534 (and ?v_2187 true)) (?v_536 (and x175 true)) (?v_535 (= tmp633 1)) (?v_537 (not x743)) (?v_1609 (not x695))) (let ((?v_538 (and ?v_1609 true)) (?v_540 (and x695 true)) (?v_539 (= tmp632 1)) (?v_541 (not x32)) (?v_2286 (not x80))) (let ((?v_542 (and ?v_2286 true)) (?v_544 (and x80 true)) (?v_543 (= tmp631 1)) (?v_545 (not x457)) (?v_546 (and (not x410) true)) (?v_548 (and x410 true)) (?v_547 (= tmp630 1)) (?v_549 (not x314)) (?v_550 (and (not x362) true)) (?v_552 (and x362 true)) (?v_551 (= tmp629 1)) (?v_553 (not x552)) (?v_554 (and (not x504) true)) (?v_556 (and x504 true)) (?v_555 (= tmp628 1)) (?v_557 (not x222)) (?v_558 (and (not x266) true)) (?v_560 (and x266 true)) (?v_559 (= tmp627 1)) (?v_561 (not x647)) (?v_1710 (not x600))) (let ((?v_562 (and ?v_1710 true)) (?v_564 (and x600 true)) (?v_563 (= tmp626 1)) (?v_565 (not x126)) (?v_566 (and (not x174) true)) (?v_568 (and x174 true)) (?v_567 (= tmp625 1)) (?v_569 (not x742)) (?v_570 (and (not x694) true)) (?v_572 (and x694 true)) (?v_571 (= tmp624 1)) (?v_573 (not x31)) (?v_574 (and (not x79) true)) (?v_576 (and x79 true)) (?v_575 (= tmp623 1)) (?v_577 (not x456)) (?v_1920 (not x409))) (let ((?v_578 (and ?v_1920 true)) (?v_580 (and x409 true)) (?v_579 (= tmp622 1)) (?v_581 (not x313)) (?v_1964 (not x361))) (let ((?v_582 (and ?v_1964 true)) (?v_584 (and x361 true)) (?v_583 (= tmp621 1)) (?v_585 (not x551)) (?v_1819 (not x503))) (let ((?v_586 (and ?v_1819 true)) (?v_588 (and x503 true)) (?v_587 (= tmp620 1)) (?v_589 (not x221)) (?v_2070 (not x265))) (let ((?v_590 (and ?v_2070 true)) (?v_592 (and x265 true)) (?v_591 (= tmp619 1)) (?v_593 (not x646)) (?v_594 (and (not x599) true)) (?v_596 (and x599 true)) (?v_595 (= tmp618 1)) (?v_597 (not x125)) (?v_2183 (not x173))) (let ((?v_598 (and ?v_2183 true)) (?v_600 (and x173 true)) (?v_599 (= tmp617 1)) (?v_601 (not x741)) (?v_1606 (not x693))) (let ((?v_602 (and ?v_1606 true)) (?v_604 (and x693 true)) (?v_603 (= tmp616 1)) (?v_605 (not x30)) (?v_2282 (not x78))) (let ((?v_606 (and ?v_2282 true)) (?v_608 (and x78 true)) (?v_607 (= tmp615 1)) (?v_609 (not x455)) (?v_610 (and (not x408) true)) (?v_612 (and x408 true)) (?v_611 (= tmp614 1)) (?v_613 (not x312)) (?v_614 (and (not x360) true)) (?v_616 (and x360 true)) (?v_615 (= tmp613 1)) (?v_617 (not x550)) (?v_618 (and (not x502) true)) (?v_620 (and x502 true)) (?v_619 (= tmp612 1)) (?v_621 (not x220)) (?v_622 (and (not x264) true)) (?v_624 (and x264 true)) (?v_623 (= tmp611 1)) (?v_625 (not x645)) (?v_1705 (not x598))) (let ((?v_626 (and ?v_1705 true)) (?v_628 (and x598 true)) (?v_627 (= tmp610 1)) (?v_629 (not x124)) (?v_630 (and (not x172) true)) (?v_632 (and x172 true)) (?v_631 (= tmp609 1)) (?v_633 (not x740)) (?v_634 (and (not x692) true)) (?v_636 (and x692 true)) (?v_635 (= tmp608 1)) (?v_637 (not x29)) (?v_638 (and (not x77) true)) (?v_640 (and x77 true)) (?v_639 (= tmp607 1)) (?v_641 (not x501)) (?v_1918 (not x407))) (let ((?v_642 (and ?v_1918 true)) (?v_644 (and x407 true)) (?v_643 (= tmp606 1)) (?v_645 (not x311)) (?v_1960 (not x359))) (let ((?v_646 (and ?v_1960 true)) (?v_648 (and x359 true)) (?v_647 (= tmp605 1)) (?v_649 (not x597)) (?v_1750 (not x549))) (let ((?v_650 (and ?v_1750 true)) (?v_652 (and x549 true)) (?v_651 (= tmp604 1)) (?v_653 (not x219)) (?v_2066 (not x263))) (let ((?v_654 (and ?v_2066 true)) (?v_656 (and x263 true)) (?v_655 (= tmp603 1)) (?v_657 (not x691)) (?v_1647 (not x644))) (let ((?v_658 (and ?v_1647 true)) (?v_660 (and x644 true)) (?v_659 (= tmp602 1)) (?v_661 (not x123)) (?v_2180 (not x171))) (let ((?v_662 (and ?v_2180 true)) (?v_664 (and x171 true)) (?v_663 (= tmp601 1)) (?v_665 (not x768)) (?v_1543 (not x739))) (let ((?v_666 (and ?v_1543 true)) (?v_668 (and x739 true)) (?v_667 (= tmp600 1)) (?v_669 (not x28)) (?v_2278 (not x76))) (let ((?v_670 (and ?v_2278 true)) (?v_672 (and x76 true)) (?v_671 (= tmp599 1)) (?v_673 (not x454)) (?v_674 (and (not x406) true)) (?v_676 (and x406 true)) (?v_675 (= tmp598 1)) (?v_677 (not x310)) (?v_678 (and (not x358) true)) (?v_680 (and x358 true)) (?v_679 (= tmp597 1)) (?v_681 (not x548)) (?v_682 (and (not x500) true)) (?v_684 (and x500 true)) (?v_683 (= tmp596 1)) (?v_685 (not x218)) (?v_686 (and (not x262) true)) (?v_688 (and x262 true)) (?v_687 (= tmp595 1)) (?v_689 (not x643)) (?v_1702 (not x596))) (let ((?v_690 (and ?v_1702 true)) (?v_692 (and x596 true)) (?v_691 (= tmp594 1)) (?v_693 (not x122)) (?v_694 (and (not x170) true)) (?v_696 (and x170 true)) (?v_695 (= tmp593 1)) (?v_697 (not x738)) (?v_698 (and (not x690) true)) (?v_700 (and x690 true)) (?v_699 (= tmp592 1)) (?v_701 (not x27)) (?v_702 (and (not x75) true)) (?v_704 (and x75 true)) (?v_703 (= tmp591 1)) (?v_705 (not x453)) (?v_1915 (not x405))) (let ((?v_706 (and ?v_1915 true)) (?v_708 (and x405 true)) (?v_707 (= tmp590 1)) (?v_709 (not x309)) (?v_1957 (not x357))) (let ((?v_710 (and ?v_1957 true)) (?v_712 (and x357 true)) (?v_711 (= tmp589 1)) (?v_713 (not x547)) (?v_714 (and (not x499) true)) (?v_716 (and x499 true)) (?v_715 (= tmp588 1)) (?v_717 (not x217)) (?v_718 (and (not x261) true)) (?v_720 (and x261 true)) (?v_719 (= tmp587 1)) (?v_721 (not x642)) (?v_722 (and (not x595) true)) (?v_724 (and x595 true)) (?v_723 (= tmp586 1)) (?v_725 (not x121)) (?v_2177 (not x169))) (let ((?v_726 (and ?v_2177 true)) (?v_728 (and x169 true)) (?v_727 (= tmp585 1)) (?v_729 (not x737)) (?v_730 (and (not x689) true)) (?v_732 (and x689 true)) (?v_731 (= tmp584 1)) (?v_733 (not x26)) (?v_2276 (not x74))) (let ((?v_734 (and ?v_2276 true)) (?v_736 (and x74 true)) (?v_735 (= tmp583 1)) (?v_737 (not x452)) (?v_738 (and (not x404) true)) (?v_740 (and x404 true)) (?v_739 (= tmp582 1)) (?v_741 (not x308)) (?v_742 (and (not x356) true)) (?v_744 (and x356 true)) (?v_743 (= tmp581 1)) (?v_745 (not x546)) (?v_746 (and (not x498) true)) (?v_748 (and x498 true)) (?v_747 (= tmp580 1)) (?v_749 (not x216)) (?v_2068 (not x260))) (let ((?v_750 (and ?v_2068 true)) (?v_752 (and x260 true)) (?v_751 (= tmp579 1)) (?v_753 (not x641)) (?v_754 (and (not x594) true)) (?v_756 (and x594 true)) (?v_755 (= tmp578 1)) (?v_757 (not x120)) (?v_758 (and (not x168) true)) (?v_760 (and x168 true)) (?v_759 (= tmp577 1)) (?v_761 (not x736)) (?v_762 (and (not x688) true)) (?v_764 (and x688 true)) (?v_763 (= tmp576 1)) (?v_765 (not x25)) (?v_766 (and (not x73) true)) (?v_768 (and x73 true)) (?v_767 (= tmp575 1)) (?v_769 (not x451)) (?v_770 (and (not x403) true)) (?v_772 (and x403 true)) (?v_771 (= tmp574 1)) (?v_773 (not x307)) (?v_774 (and (not x355) true)) (?v_776 (and x355 true)) (?v_775 (= tmp573 1)) (?v_777 (not x545)) (?v_1814 (not x497))) (let ((?v_778 (and ?v_1814 true)) (?v_780 (and x497 true)) (?v_779 (= tmp572 1)) (?v_781 (not x215)) (?v_782 (and (not x259) true)) (?v_784 (and x259 true)) (?v_783 (= tmp571 1)) (?v_785 (not x640)) (?v_786 (and (not x593) true)) (?v_788 (and x593 true)) (?v_787 (= tmp570 1)) (?v_789 (not x119)) (?v_790 (and (not x167) true)) (?v_792 (and x167 true)) (?v_791 (= tmp569 1)) (?v_793 (not x735)) (?v_1603 (not x687))) (let ((?v_794 (and ?v_1603 true)) (?v_796 (and x687 true)) (?v_795 (= tmp568 1)) (?v_797 (not x24)) (?v_798 (and (not x72) true)) (?v_800 (and x72 true)) (?v_799 (= tmp567 1)) (?v_801 (not x496)) (?v_1853 (not x450))) (let ((?v_802 (and ?v_1853 true)) (?v_804 (and x450 true)) (?v_803 (= tmp566 1)) (?v_805 (not x354)) (?v_1916 (not x402))) (let ((?v_806 (and ?v_1916 true)) (?v_808 (and x402 true)) (?v_807 (= tmp565 1)) (?v_809 (not x592)) (?v_1747 (not x544))) (let ((?v_810 (and ?v_1747 true)) (?v_812 (and x544 true)) (?v_811 (= tmp564 1)) (?v_813 (not x258)) (?v_2002 (not x306))) (let ((?v_814 (and ?v_2002 true)) (?v_816 (and x306 true)) (?v_815 (= tmp563 1)) (?v_817 (not x734)) (?v_1643 (not x639))) (let ((?v_818 (and ?v_1643 true)) (?v_820 (and x639 true)) (?v_819 (= tmp562 1)) (?v_821 (not x166)) (?v_2108 (not x214))) (let ((?v_822 (and ?v_2108 true)) (?v_824 (and x214 true)) (?v_823 (= tmp561 1)) (?v_825 (not x767)) (?v_826 (and (not x766) true)) (?v_828 (and x766 true)) (?v_827 (= tmp560 1)) (?v_829 (not x23)) (?v_2277 (not x71))) (let ((?v_830 (and ?v_2277 true)) (?v_832 (and x71 true)) (?v_831 (= tmp559 1)) (?v_833 (not x449)) (?v_834 (and (not x401) true)) (?v_836 (and x401 true)) (?v_835 (= tmp558 1)) (?v_837 (not x305)) (?v_838 (and (not x353) true)) (?v_840 (and x353 true)) (?v_839 (= tmp557 1)) (?v_841 (not x543)) (?v_1816 (not x495))) (let ((?v_842 (and ?v_1816 true)) (?v_844 (and x495 true)) (?v_843 (= tmp556 1)) (?v_845 (not x213)) (?v_846 (and (not x257) true)) (?v_848 (and x257 true)) (?v_847 (= tmp555 1)) (?v_849 (not x638)) (?v_850 (and (not x591) true)) (?v_852 (and x591 true)) (?v_851 (= tmp554 1)) (?v_853 (not x118)) (?v_854 (and (not x165) true)) (?v_856 (and x165 true)) (?v_855 (= tmp553 1)) (?v_857 (not x733)) (?v_858 (and (not x686) true)) (?v_860 (and x686 true)) (?v_859 (= tmp552 1)) (?v_861 (not x22)) (?v_862 (and (not x70) true)) (?v_864 (and x70 true)) (?v_863 (= tmp551 1)) (?v_865 (not x448)) (?v_1919 (not x400))) (let ((?v_866 (and ?v_1919 true)) (?v_868 (and x400 true)) (?v_867 (= tmp550 1)) (?v_869 (not x304)) (?v_1962 (not x352))) (let ((?v_870 (and ?v_1962 true)) (?v_872 (and x352 true)) (?v_871 (= tmp549 1)) (?v_873 (not x542)) (?v_874 (and (not x494) true)) (?v_876 (and x494 true)) (?v_875 (= tmp548 1)) (?v_877 (not x212)) (?v_2075 (not x256))) (let ((?v_878 (and ?v_2075 true)) (?v_880 (and x256 true)) (?v_879 (= tmp547 1)) (?v_881 (not x637)) (?v_1703 (not x590))) (let ((?v_882 (and ?v_1703 true)) (?v_884 (and x590 true)) (?v_883 (= tmp546 1)) (?v_885 (not x117)) (?v_2181 (not x164))) (let ((?v_886 (and ?v_2181 true)) (?v_888 (and x164 true)) (?v_887 (= tmp545 1)) (?v_889 (not x732)) (?v_1605 (not x685))) (let ((?v_890 (and ?v_1605 true)) (?v_892 (and x685 true)) (?v_891 (= tmp544 1)) (?v_893 (not x21)) (?v_2280 (not x69))) (let ((?v_894 (and ?v_2280 true)) (?v_896 (and x69 true)) (?v_895 (= tmp543 1)) (?v_897 (not x447)) (?v_898 (and (not x399) true)) (?v_900 (and x399 true)) (?v_899 (= tmp542 1)) (?v_901 (not x303)) (?v_902 (and (not x351) true)) (?v_904 (and x351 true)) (?v_903 (= tmp541 1)) (?v_905 (not x541)) (?v_1821 (not x493))) (let ((?v_906 (and ?v_1821 true)) (?v_908 (and x493 true)) (?v_907 (= tmp540 1)) (?v_909 (not x211)) (?v_910 (and (not x255) true)) (?v_912 (and x255 true)) (?v_911 (= tmp539 1)) (?v_913 (not x636)) (?v_914 (and (not x589) true)) (?v_916 (and x589 true)) (?v_915 (= tmp538 1)) (?v_917 (not x116)) (?v_918 (and (not x163) true)) (?v_920 (and x163 true)) (?v_919 (= tmp537 1)) (?v_921 (not x731)) (?v_922 (and (not x684) true)) (?v_924 (and x684 true)) (?v_923 (= tmp536 1)) (?v_925 (not x20)) (?v_926 (and (not x68) true)) (?v_928 (and x68 true)) (?v_927 (= tmp535 1)) (?v_929 (not x446)) (?v_1921 (not x398))) (let ((?v_930 (and ?v_1921 true)) (?v_932 (and x398 true)) (?v_931 (= tmp534 1)) (?v_933 (not x302)) (?v_1966 (not x350))) (let ((?v_934 (and ?v_1966 true)) (?v_936 (and x350 true)) (?v_935 (= tmp533 1)) (?v_937 (not x540)) (?v_938 (and (not x492) true)) (?v_940 (and x492 true)) (?v_939 (= tmp532 1)) (?v_941 (not x210)) (?v_2079 (not x254))) (let ((?v_942 (and ?v_2079 true)) (?v_944 (and x254 true)) (?v_943 (= tmp531 1)) (?v_945 (not x635)) (?v_1709 (not x588))) (let ((?v_946 (and ?v_1709 true)) (?v_948 (and x588 true)) (?v_947 (= tmp530 1)) (?v_949 (not x115)) (?v_2185 (not x162))) (let ((?v_950 (and ?v_2185 true)) (?v_952 (and x162 true)) (?v_951 (= tmp529 1)) (?v_953 (not x730)) (?v_1608 (not x683))) (let ((?v_954 (and ?v_1608 true)) (?v_956 (and x683 true)) (?v_955 (= tmp528 1)) (?v_957 (not x19)) (?v_2284 (not x67))) (let ((?v_958 (and ?v_2284 true)) (?v_960 (and x67 true)) (?v_959 (= tmp527 1)) (?v_961 (not x445)) (?v_962 (and (not x397) true)) (?v_964 (and x397 true)) (?v_963 (= tmp526 1)) (?v_965 (not x301)) (?v_966 (and (not x349) true)) (?v_968 (and x349 true)) (?v_967 (= tmp525 1)) (?v_969 (not x539)) (?v_1824 (not x491))) (let ((?v_970 (and ?v_1824 true)) (?v_972 (and x491 true)) (?v_971 (= tmp524 1)) (?v_973 (not x209)) (?v_974 (and (not x253) true)) (?v_976 (and x253 true)) (?v_975 (= tmp523 1)) (?v_977 (not x634)) (?v_978 (and (not x587) true)) (?v_980 (and x587 true)) (?v_979 (= tmp522 1)) (?v_981 (not x114)) (?v_982 (and (not x161) true)) (?v_984 (and x161 true)) (?v_983 (= tmp521 1)) (?v_985 (not x729)) (?v_986 (and (not x682) true)) (?v_988 (and x682 true)) (?v_987 (= tmp520 1)) (?v_989 (not x18)) (?v_990 (and (not x66) true)) (?v_992 (and x66 true)) (?v_991 (= tmp519 1)) (?v_993 (not x490)) (?v_1869 (not x444))) (let ((?v_994 (and ?v_1869 true)) (?v_996 (and x444 true)) (?v_995 (= tmp518 1)) (?v_997 (not x348)) (?v_1925 (not x396))) (let ((?v_998 (and ?v_1925 true)) (?v_1000 (and x396 true)) (?v_999 (= tmp517 1)) (?v_1001 (not x586)) (?v_1766 (not x538))) (let ((?v_1002 (and ?v_1766 true)) (?v_1004 (and x538 true)) (?v_1003 (= tmp516 1)) (?v_1005 (not x252)) (?v_2020 (not x300))) (let ((?v_1006 (and ?v_2020 true)) (?v_1008 (and x300 true)) (?v_1007 (= tmp515 1)) (?v_1009 (not x681)) (?v_1661 (not x633))) (let ((?v_1010 (and ?v_1661 true)) (?v_1012 (and x633 true)) (?v_1011 (= tmp514 1)) (?v_1013 (not x160)) (?v_2125 (not x208))) (let ((?v_1014 (and ?v_2125 true)) (?v_1016 (and x208 true)) (?v_1015 (= tmp513 1)) (?v_1017 (not x765)) (?v_1558 (not x728))) (let ((?v_1018 (and ?v_1558 true)) (?v_1020 (and x728 true)) (?v_1019 (= tmp512 1)) (?v_1021 (not x65)) (?v_2231 (not x113))) (let ((?v_1022 (and ?v_2231 true)) (?v_1024 (and x113 true)) (?v_1023 (= tmp511 1)) (?v_1025 (not x443)) (?v_1026 (and (not x395) true)) (?v_1028 (and x395 true)) (?v_1027 (= tmp510 1)) (?v_1029 (not x299)) (?v_1030 (and (not x347) true)) (?v_1032 (and x347 true)) (?v_1031 (= tmp509 1)) (?v_1033 (not x537)) (?v_1827 (not x489))) (let ((?v_1034 (and ?v_1827 true)) (?v_1036 (and x489 true)) (?v_1035 (= tmp508 1)) (?v_1037 (not x207)) (?v_1038 (and (not x251) true)) (?v_1040 (and x251 true)) (?v_1039 (= tmp507 1)) (?v_1041 (not x632)) (?v_1042 (and (not x585) true)) (?v_1044 (and x585 true)) (?v_1043 (= tmp506 1)) (?v_1045 (not x112)) (?v_1046 (and (not x159) true)) (?v_1048 (and x159 true)) (?v_1047 (= tmp505 1)) (?v_1049 (not x727)) (?v_1050 (and (not x680) true)) (?v_1052 (and x680 true)) (?v_1051 (= tmp504 1)) (?v_1053 (not x17)) (?v_1054 (and (not x64) true)) (?v_1056 (and x64 true)) (?v_1055 (= tmp503 1)) (?v_1057 (not x442)) (?v_1929 (not x394))) (let ((?v_1058 (and ?v_1929 true)) (?v_1060 (and x394 true)) (?v_1059 (= tmp502 1)) (?v_1061 (not x298)) (?v_1972 (not x346))) (let ((?v_1062 (and ?v_1972 true)) (?v_1064 (and x346 true)) (?v_1063 (= tmp501 1)) (?v_1065 (not x536)) (?v_1066 (and (not x488) true)) (?v_1068 (and x488 true)) (?v_1067 (= tmp500 1)) (?v_1069 (not x206)) (?v_2086 (not x250))) (let ((?v_1070 (and ?v_2086 true)) (?v_1072 (and x250 true)) (?v_1071 (= tmp499 1)) (?v_1073 (not x631)) (?v_1715 (not x584))) (let ((?v_1074 (and ?v_1715 true)) (?v_1076 (and x584 true)) (?v_1075 (= tmp498 1)) (?v_1077 (not x111)) (?v_2191 (not x158))) (let ((?v_1078 (and ?v_2191 true)) (?v_1080 (and x158 true)) (?v_1079 (= tmp497 1)) (?v_1081 (not x726)) (?v_1613 (not x679))) (let ((?v_1082 (and ?v_1613 true)) (?v_1084 (and x679 true)) (?v_1083 (= tmp496 1)) (?v_1085 (not x16)) (?v_2288 (not x63))) (let ((?v_1086 (and ?v_2288 true)) (?v_1088 (and x63 true)) (?v_1087 (= tmp495 1)) (?v_1089 (not x441)) (?v_1090 (and (not x393) true)) (?v_1092 (and x393 true)) (?v_1091 (= tmp494 1)) (?v_1093 (not x297)) (?v_1094 (and (not x345) true)) (?v_1096 (and x345 true)) (?v_1095 (= tmp493 1)) (?v_1097 (not x535)) (?v_1833 (not x487))) (let ((?v_1098 (and ?v_1833 true)) (?v_1100 (and x487 true)) (?v_1099 (= tmp492 1)) (?v_1101 (not x205)) (?v_1102 (and (not x249) true)) (?v_1104 (and x249 true)) (?v_1103 (= tmp491 1)) (?v_1105 (not x630)) (?v_1106 (and (not x583) true)) (?v_1108 (and x583 true)) (?v_1107 (= tmp490 1)) (?v_1109 (not x110)) (?v_1110 (and (not x157) true)) (?v_1112 (and x157 true)) (?v_1111 (= tmp489 1)) (?v_1113 (not x725)) (?v_1114 (and (not x678) true)) (?v_1116 (and x678 true)) (?v_1115 (= tmp488 1)) (?v_1117 (not x15)) (?v_1118 (and (not x62) true)) (?v_1120 (and x62 true)) (?v_1119 (= tmp487 1)) (?v_1121 (not x486)) (?v_1881 (not x440))) (let ((?v_1122 (and ?v_1881 true)) (?v_1124 (and x440 true)) (?v_1123 (= tmp486 1)) (?v_1125 (not x344)) (?v_1933 (not x392))) (let ((?v_1126 (and ?v_1933 true)) (?v_1128 (and x392 true)) (?v_1127 (= tmp485 1)) (?v_1129 (not x582)) (?v_1778 (not x534))) (let ((?v_1130 (and ?v_1778 true)) (?v_1132 (and x534 true)) (?v_1131 (= tmp484 1)) (?v_1133 (not x204)) (?v_2032 (not x296))) (let ((?v_1134 (and ?v_2032 true)) (?v_1136 (and x296 true)) (?v_1135 (= tmp483 1)) (?v_1137 (not x677)) (?v_1673 (not x629))) (let ((?v_1138 (and ?v_1673 true)) (?v_1140 (and x629 true)) (?v_1139 (= tmp482 1)) (?v_1141 (not x109)) (?v_2195 (not x156))) (let ((?v_1142 (and ?v_2195 true)) (?v_1144 (and x156 true)) (?v_1143 (= tmp481 1)) (?v_1145 (not x764)) (?v_1571 (not x724))) (let ((?v_1146 (and ?v_1571 true)) (?v_1148 (and x724 true)) (?v_1147 (= tmp480 1)) (?v_1149 (not x14)) (?v_2291 (not x61))) (let ((?v_1150 (and ?v_2291 true)) (?v_1152 (and x61 true)) (?v_1151 (= tmp479 1)) (?v_1153 (not x485)) (?v_1154 (and (not x439) true)) (?v_1156 (and x439 true)) (?v_1155 (= tmp478 1)) (?v_1157 (not x343)) (?v_1158 (and (not x391) true)) (?v_1160 (and x391 true)) (?v_1159 (= tmp477 1)) (?v_1161 (not x581)) (?v_1162 (and (not x533) true)) (?v_1164 (and x533 true)) (?v_1163 (= tmp476 1)) (?v_1165 (not x203)) (?v_1166 (and (not x295) true)) (?v_1168 (and x295 true)) (?v_1167 (= tmp475 1)) (?v_1169 (not x676)) (?v_1170 (and (not x628) true)) (?v_1172 (and x628 true)) (?v_1171 (= tmp474 1)) (?v_1173 (not x108)) (?v_1174 (and (not x155) true)) (?v_1176 (and x155 true)) (?v_1175 (= tmp473 1)) (?v_1177 (not x763)) (?v_1178 (and (not x723) true)) (?v_1180 (and x723 true)) (?v_1179 (= tmp472 1)) (?v_1181 (not x13)) (?v_1182 (and (not x60) true)) (?v_1184 (and x60 true)) (?v_1183 (= tmp471 1)) (?v_1185 (not x484)) (?v_1888 (not x438))) (let ((?v_1186 (and ?v_1888 true)) (?v_1188 (and x438 true)) (?v_1187 (= tmp470 1)) (?v_1189 (not x342)) (?v_1936 (not x390))) (let ((?v_1190 (and ?v_1936 true)) (?v_1192 (and x390 true)) (?v_1191 (= tmp469 1)) (?v_1193 (not x580)) (?v_1785 (not x532))) (let ((?v_1194 (and ?v_1785 true)) (?v_1196 (and x532 true)) (?v_1195 (= tmp468 1)) (?v_1197 (not x202)) (?v_2039 (not x294))) (let ((?v_1198 (and ?v_2039 true)) (?v_1200 (and x294 true)) (?v_1199 (= tmp467 1)) (?v_1201 (not x675)) (?v_1679 (not x627))) (let ((?v_1202 (and ?v_1679 true)) (?v_1204 (and x627 true)) (?v_1203 (= tmp466 1)) (?v_1205 (not x107)) (?v_2198 (not x154))) (let ((?v_1206 (and ?v_2198 true)) (?v_1208 (and x154 true)) (?v_1207 (= tmp465 1)) (?v_1209 (not x762)) (?v_1578 (not x722))) (let ((?v_1210 (and ?v_1578 true)) (?v_1212 (and x722 true)) (?v_1211 (= tmp464 1)) (?v_1213 (not x12)) (?v_2295 (not x59))) (let ((?v_1214 (and ?v_2295 true)) (?v_1216 (and x59 true)) (?v_1215 (= tmp463 1)) (?v_1217 (not x483)) (?v_1218 (and (not x437) true)) (?v_1220 (and x437 true)) (?v_1219 (= tmp462 1)) (?v_1221 (not x341)) (?v_1222 (and (not x389) true)) (?v_1224 (and x389 true)) (?v_1223 (= tmp461 1)) (?v_1225 (not x579)) (?v_1226 (and (not x531) true)) (?v_1228 (and x531 true)) (?v_1227 (= tmp460 1)) (?v_1229 (not x201)) (?v_1230 (and (not x293) true)) (?v_1232 (and x293 true)) (?v_1231 (= tmp459 1)) (?v_1233 (not x674)) (?v_1234 (and (not x626) true)) (?v_1236 (and x626 true)) (?v_1235 (= tmp458 1)) (?v_1237 (not x106)) (?v_1238 (and (not x153) true)) (?v_1240 (and x153 true)) (?v_1239 (= tmp457 1)) (?v_1241 (not x761)) (?v_1242 (and (not x721) true)) (?v_1244 (and x721 true)) (?v_1243 (= tmp456 1)) (?v_1245 (not x11)) (?v_1246 (and (not x58) true)) (?v_1248 (and x58 true)) (?v_1247 (= tmp455 1)) (?v_1249 (not x436)) (?v_1940 (not x388))) (let ((?v_1250 (and ?v_1940 true)) (?v_1252 (and x388 true)) (?v_1251 (= tmp454 1)) (?v_1253 (not x292)) (?v_1983 (not x340))) (let ((?v_1254 (and ?v_1983 true)) (?v_1256 (and x340 true)) (?v_1255 (= tmp453 1)) (?v_1257 (not x530)) (?v_1258 (and (not x482) true)) (?v_1260 (and x482 true)) (?v_1259 (= tmp452 1)) (?v_1261 (not x200)) (?v_2090 (not x248))) (let ((?v_1262 (and ?v_2090 true)) (?v_1264 (and x248 true)) (?v_1263 (= tmp451 1)) (?v_1265 (not x625)) (?v_1725 (not x578))) (let ((?v_1266 (and ?v_1725 true)) (?v_1268 (and x578 true)) (?v_1267 (= tmp450 1)) (?v_1269 (not x105)) (?v_2202 (not x152))) (let ((?v_1270 (and ?v_2202 true)) (?v_1272 (and x152 true)) (?v_1271 (= tmp449 1)) (?v_1273 (not x720)) (?v_1622 (not x673))) (let ((?v_1274 (and ?v_1622 true)) (?v_1276 (and x673 true)) (?v_1275 (= tmp448 1)) (?v_1277 (not x10)) (?v_2299 (not x57))) (let ((?v_1278 (and ?v_2299 true)) (?v_1280 (and x57 true)) (?v_1279 (= tmp447 1)) (?v_1281 (not x435)) (?v_1282 (and (not x387) true)) (?v_1284 (and x387 true)) (?v_1283 (= tmp446 1)) (?v_1285 (not x291)) (?v_1286 (and (not x339) true)) (?v_1288 (and x339 true)) (?v_1287 (= tmp445 1)) (?v_1289 (not x529)) (?v_1844 (not x481))) (let ((?v_1290 (and ?v_1844 true)) (?v_1292 (and x481 true)) (?v_1291 (= tmp444 1)) (?v_1293 (not x199)) (?v_1294 (and (not x247) true)) (?v_1296 (and x247 true)) (?v_1295 (= tmp443 1)) (?v_1297 (not x624)) (?v_1298 (and (not x577) true)) (?v_1300 (and x577 true)) (?v_1299 (= tmp442 1)) (?v_1301 (not x104)) (?v_1302 (and (not x151) true)) (?v_1304 (and x151 true)) (?v_1303 (= tmp441 1)) (?v_1305 (not x719)) (?v_1306 (and (not x672) true)) (?v_1308 (and x672 true)) (?v_1307 (= tmp440 1)) (?v_1309 (not x9)) (?v_1310 (and (not x56) true)) (?v_1312 (and x56 true)) (?v_1311 (= tmp439 1)) (?v_1313 (not x434)) (?v_1944 (not x386))) (let ((?v_1314 (and ?v_1944 true)) (?v_1316 (and x386 true)) (?v_1315 (= tmp438 1)) (?v_1317 (not x290)) (?v_1989 (not x338))) (let ((?v_1318 (and ?v_1989 true)) (?v_1320 (and x338 true)) (?v_1319 (= tmp437 1)) (?v_1321 (not x528)) (?v_1322 (and (not x480) true)) (?v_1324 (and x480 true)) (?v_1323 (= tmp436 1)) (?v_1325 (not x198)) (?v_2093 (not x246))) (let ((?v_1326 (and ?v_2093 true)) (?v_1328 (and x246 true)) (?v_1327 (= tmp435 1)) (?v_1329 (not x623)) (?v_1731 (not x576))) (let ((?v_1330 (and ?v_1731 true)) (?v_1332 (and x576 true)) (?v_1331 (= tmp434 1)) (?v_1333 (not x103)) (?v_2206 (not x150))) (let ((?v_1334 (and ?v_2206 true)) (?v_1336 (and x150 true)) (?v_1335 (= tmp433 1)) (?v_1337 (not x718)) (?v_1629 (not x671))) (let ((?v_1338 (and ?v_1629 true)) (?v_1340 (and x671 true)) (?v_1339 (= tmp432 1)) (?v_1341 (not x8)) (?v_2302 (not x55))) (let ((?v_1342 (and ?v_2302 true)) (?v_1344 (and x55 true)) (?v_1343 (= tmp431 1)) (?v_1345 (not x433)) (?v_1346 (and (not x385) true)) (?v_1348 (and x385 true)) (?v_1347 (= tmp430 1)) (?v_1349 (not x289)) (?v_1350 (and (not x337) true)) (?v_1352 (and x337 true)) (?v_1351 (= tmp429 1)) (?v_1353 (not x527)) (?v_1847 (not x479))) (let ((?v_1354 (and ?v_1847 true)) (?v_1356 (and x479 true)) (?v_1355 (= tmp428 1)) (?v_1357 (not x197)) (?v_1358 (and (not x245) true)) (?v_1360 (and x245 true)) (?v_1359 (= tmp427 1)) (?v_1361 (not x622)) (?v_1362 (and (not x575) true)) (?v_1364 (and x575 true)) (?v_1363 (= tmp426 1)) (?v_1365 (not x102)) (?v_1366 (and (not x149) true)) (?v_1368 (and x149 true)) (?v_1367 (= tmp425 1)) (?v_1369 (not x717)) (?v_1370 (and (not x670) true)) (?v_1372 (and x670 true)) (?v_1371 (= tmp424 1)) (?v_1373 (not x7)) (?v_1374 (and (not x54) true)) (?v_1376 (and x54 true)) (?v_1375 (= tmp423 1)) (?v_1377 (not x432)) (?v_1947 (not x384))) (let ((?v_1378 (and ?v_1947 true)) (?v_1380 (and x384 true)) (?v_1379 (= tmp422 1)) (?v_1381 (not x288)) (?v_1991 (not x336))) (let ((?v_1382 (and ?v_1991 true)) (?v_1384 (and x336 true)) (?v_1383 (= tmp421 1)) (?v_1385 (not x526)) (?v_1386 (and (not x478) true)) (?v_1388 (and x478 true)) (?v_1387 (= tmp420 1)) (?v_1389 (not x196)) (?v_2097 (not x244))) (let ((?v_1390 (and ?v_2097 true)) (?v_1392 (and x244 true)) (?v_1391 (= tmp419 1)) (?v_1393 (not x621)) (?v_1735 (not x574))) (let ((?v_1394 (and ?v_1735 true)) (?v_1396 (and x574 true)) (?v_1395 (= tmp418 1)) (?v_1397 (not x101)) (?v_2209 (not x148))) (let ((?v_1398 (and ?v_2209 true)) (?v_1400 (and x148 true)) (?v_1399 (= tmp417 1)) (?v_1401 (not x716)) (?v_1632 (not x669))) (let ((?v_1402 (and ?v_1632 true)) (?v_1404 (and x669 true)) (?v_1403 (= tmp416 1)) (?v_1405 (not x6)) (?v_2305 (not x53))) (let ((?v_1406 (and ?v_2305 true)) (?v_1408 (and x53 true)) (?v_1407 (= tmp415 1)) (?v_1409 (not x431)) (?v_1410 (and (not x383) true)) (?v_1412 (and x383 true)) (?v_1411 (= tmp414 1)) (?v_1413 (not x287)) (?v_1414 (and (not x335) true)) (?v_1416 (and x335 true)) (?v_1415 (= tmp413 1)) (?v_1417 (not x525)) (?v_1849 (not x477))) (let ((?v_1418 (and ?v_1849 true)) (?v_1420 (and x477 true)) (?v_1419 (= tmp412 1)) (?v_1421 (not x195)) (?v_1422 (and (not x243) true)) (?v_1424 (and x243 true)) (?v_1423 (= tmp411 1)) (?v_1425 (not x620)) (?v_1426 (and (not x573) true)) (?v_1428 (and x573 true)) (?v_1427 (= tmp410 1)) (?v_1429 (not x100)) (?v_1430 (and (not x147) true)) (?v_1432 (and x147 true)) (?v_1431 (= tmp409 1)) (?v_1433 (not x715)) (?v_1434 (and (not x668) true)) (?v_1436 (and x668 true)) (?v_1435 (= tmp408 1)) (?v_1437 (not x5)) (?v_1438 (and (not x52) true)) (?v_1440 (and x52 true)) (?v_1439 (= tmp407 1)) (?v_1441 (not x430)) (?v_1951 (not x382))) (let ((?v_1442 (and ?v_1951 true)) (?v_1444 (and x382 true)) (?v_1443 (= tmp406 1)) (?v_1445 (not x286)) (?v_1993 (not x334))) (let ((?v_1446 (and ?v_1993 true)) (?v_1448 (and x334 true)) (?v_1447 (= tmp405 1)) (?v_1449 (not x524)) (?v_1450 (and (not x476) true)) (?v_1452 (and x476 true)) (?v_1451 (= tmp404 1)) (?v_1453 (not x194)) (?v_2100 (not x242))) (let ((?v_1454 (and ?v_2100 true)) (?v_1456 (and x242 true)) (?v_1455 (= tmp403 1)) (?v_1457 (not x619)) (?v_1739 (not x572))) (let ((?v_1458 (and ?v_1739 true)) (?v_1460 (and x572 true)) (?v_1459 (= tmp402 1)) (?v_1461 (not x99)) (?v_2211 (not x146))) (let ((?v_1462 (and ?v_2211 true)) (?v_1464 (and x146 true)) (?v_1463 (= tmp401 1)) (?v_1465 (not x714)) (?v_1636 (not x667))) (let ((?v_1466 (and ?v_1636 true)) (?v_1468 (and x667 true)) (?v_1467 (= tmp400 1)) (?v_1469 (not x4)) (?v_2308 (not x51))) (let ((?v_1470 (and ?v_2308 true)) (?v_1472 (and x51 true)) (?v_1471 (= tmp399 1)) (?v_1473 (not x429)) (?v_1474 (and (not x381) true)) (?v_1476 (and x381 true)) (?v_1475 (= tmp398 1)) (?v_1477 (not x285)) (?v_1478 (and (not x333) true)) (?v_1480 (and x333 true)) (?v_1479 (= tmp397 1)) (?v_1481 (not x523)) (?v_1852 (not x475))) (let ((?v_1482 (and ?v_1852 true)) (?v_1484 (and x475 true)) (?v_1483 (= tmp396 1)) (?v_1485 (not x193)) (?v_1486 (and (not x241) true)) (?v_1488 (and x241 true)) (?v_1487 (= tmp395 1)) (?v_1489 (not x618)) (?v_1490 (and (not x571) true)) (?v_1492 (and x571 true)) (?v_1491 (= tmp394 1)) (?v_1493 (not x98)) (?v_1494 (and (not x145) true)) (?v_1496 (and x145 true)) (?v_1495 (= tmp393 1)) (?v_1497 (not x713)) (?v_1498 (and (not x666) true)) (?v_1500 (and x666 true)) (?v_1499 (= tmp392 1)) (?v_1501 (not x3)) (?v_1502 (and (not x50) true)) (?v_1504 (and x50 true)) (?v_1503 (= tmp391 1)) (?v_1505 (not x428)) (?v_1955 (not x380))) (let ((?v_1506 (and ?v_1955 true)) (?v_1508 (and x380 true)) (?v_1507 (= tmp390 1)) (?v_1509 (not x284)) (?v_1997 (not x332))) (let ((?v_1510 (and ?v_1997 true)) (?v_1512 (and x332 true)) (?v_1511 (= tmp389 1)) (?v_1513 (not x570)) (?v_1810 (not x522))) (let ((?v_1514 (and ?v_1810 true)) (?v_1516 (and x522 true)) (?v_1515 (= tmp388 1)) (?v_1517 (not x192)) (?v_2104 (not x240))) (let ((?v_1518 (and ?v_2104 true)) (?v_1520 (and x240 true)) (?v_1519 (= tmp387 1)) (?v_1521 (not x665)) (?v_1698 (not x617))) (let ((?v_1522 (and ?v_1698 true)) (?v_1524 (and x617 true)) (?v_1523 (= tmp386 1)) (?v_1525 (not x97)) (?v_2215 (not x144))) (let ((?v_1526 (and ?v_2215 true)) (?v_1528 (and x144 true)) (?v_1527 (= tmp385 1)) (?v_1529 (not x760)) (?v_1599 (not x712))) (let ((?v_1530 (and ?v_1599 true)) (?v_1532 (and x712 true)) (?v_1531 (= tmp384 1)) (?v_1533 (not x2)) (?v_2311 (not x49))) (let ((?v_1534 (and ?v_2311 true)) (?v_1536 (and x49 true)) (?v_1535 (= tmp383 1)) (?v_1537 (and ?v_761 true)) (?v_1539 (and x736 true)) (?v_1538 (= tmp382 6)) (?v_1540 (and ?v_793 true)) (?v_1542 (and x735 true)) (?v_1541 (= tmp381 4)) (?v_1544 (and ?v_697 true)) (?v_1546 (and x738 true)) (?v_1545 (= tmp380 6)) (?v_1547 (and ?v_857 true)) (?v_1549 (and x733 true)) (?v_1548 (= tmp379 6)) (?v_1550 (and ?v_633 true)) (?v_1551 (and x740 true)) (?v_1552 (and ?v_921 true)) (?v_1554 (and x731 true)) (?v_1553 (= tmp377 8)) (?v_1555 (and ?v_569 true)) (?v_1557 (and x742 true)) (?v_1556 (= tmp376 8)) (?v_1559 (and ?v_985 true)) (?v_1561 (and x729 true)) (?v_1560 (= tmp375 8)) (?v_1562 (and ?v_505 true)) (?v_1564 (and x744 true)) (?v_1563 (= tmp374 8)) (?v_1565 (and ?v_1049 true)) (?v_1567 (and x727 true)) (?v_1566 (= tmp373 8)) (?v_1568 (and ?v_441 true)) (?v_1570 (and x746 true)) (?v_1569 (= tmp372 8)) (?v_1572 (and ?v_1113 true)) (?v_1574 (and x725 true)) (?v_1573 (= tmp371 8)) (?v_1575 (and ?v_377 true)) (?v_1577 (and x748 true)) (?v_1576 (= tmp370 6)) (?v_1579 (= tmp369 8)) (?v_1580 (and ?v_313 true)) (?v_1581 (and x750 true)) (?v_1582 (= tmp367 8)) (?v_1583 (= tmp366 8)) (?v_1584 (and ?v_1305 true)) (?v_1585 (and x719 true)) (?v_1586 (and ?v_185 true)) (?v_1588 (and x754 true)) (?v_1587 (= tmp364 8)) (?v_1589 (and ?v_1369 true)) (?v_1590 (and x717 true)) (?v_1591 (and ?v_121 true)) (?v_1593 (and x756 true)) (?v_1592 (= tmp362 8)) (?v_1594 (and ?v_1433 true)) (?v_1596 (and x715 true)) (?v_1595 (= tmp361 8)) (?v_1597 (and ?v_57 true)) (?v_1598 (and x758 true)) (?v_1600 (and ?v_1497 true)) (?v_1602 (and x713 true)) (?v_1601 (= tmp359 8)) (?v_1604 (= tmp356 2)) (?v_1607 (= tmp354 4)) (?v_1610 (= tmp352 4)) (?v_1612 (= tmp350 2)) (?v_1614 (= tmp349 6)) (?v_1617 (and ?v_1169 true)) (?v_1619 (and x676 true)) (?v_1618 (= tmp345 8)) (?v_1621 (= tmp344 6)) (?v_1623 (and ?v_1233 true)) (?v_1625 (and x674 true)) (?v_1624 (= tmp343 8)) (?v_1627 (and ?v_241 true)) (?v_1628 (and x704 true)) (?v_1630 (= tmp341 8)) (?v_1633 (= tmp339 8)) (?v_1635 (= tmp338 6)) (?v_1637 (= tmp337 8)) (?v_1639 (= tmp336 6)) (?v_1640 (= tmp335 8)) (?v_1641 (and ?v_753 true)) (?v_1642 (and x641 true)) (?v_1644 (and ?v_785 true)) (?v_1646 (and x640 true)) (?v_1645 (= tmp333 6)) (?v_1648 (and ?v_689 true)) (?v_1650 (and x643 true)) (?v_1649 (= tmp332 8)) (?v_1651 (and ?v_849 true)) (?v_1653 (and x638 true)) (?v_1652 (= tmp331 6)) (?v_1654 (and ?v_625 true)) (?v_1656 (and x645 true)) (?v_1655 (= tmp330 8)) (?v_1657 (and ?v_913 true)) (?v_1658 (and x636 true)) (?v_1659 (and ?v_561 true)) (?v_1660 (and x647 true)) (?v_1662 (and ?v_977 true)) (?v_1664 (and x634 true)) (?v_1663 (= tmp327 8)) (?v_1665 (and ?v_497 true)) (?v_1667 (and x649 true)) (?v_1666 (= tmp326 6)) (?v_1668 (and ?v_1041 true)) (?v_1670 (and x632 true)) (?v_1669 (= tmp325 6)) (?v_1671 (and ?v_433 true)) (?v_1672 (and x651 true)) (?v_1674 (and ?v_1105 true)) (?v_1675 (and x630 true)) (?v_1676 (and ?v_369 true)) (?v_1678 (and x653 true)) (?v_1677 (= tmp322 4)) (?v_1680 (= tmp321 4)) (?v_1681 (and ?v_305 true)) (?v_1682 (and x655 true)) (?v_1683 (and ?v_1297 true)) (?v_1684 (and x624 true)) (?v_1685 (and ?v_177 true)) (?v_1686 (and x659 true)) (?v_1687 (and ?v_1361 true)) (?v_1689 (and x622 true)) (?v_1688 (= tmp315 6)) (?v_1690 (and ?v_113 true)) (?v_1692 (and x661 true)) (?v_1691 (= tmp314 6)) (?v_1693 (and ?v_1425 true)) (?v_1694 (and x620 true)) (?v_1695 (and ?v_49 true)) (?v_1697 (and x663 true)) (?v_1696 (= tmp312 6)) (?v_1699 (and ?v_1489 true)) (?v_1701 (and x618 true)) (?v_1700 (= tmp311 8)) (?v_1704 (= tmp307 4)) (?v_1706 (and ?v_649 true)) (?v_1708 (and x597 true)) (?v_1707 (= tmp306 4)) (?v_1711 (= tmp304 4)) (?v_1712 (= tmp303 6)) (?v_1714 (= tmp302 6)) (?v_1717 (= tmp300 6)) (?v_1718 (= tmp299 8)) (?v_1720 (= tmp298 6)) (?v_1721 (and ?v_1161 true)) (?v_1723 (and x581 true)) (?v_1722 (= tmp297 6)) (?v_1726 (and ?v_1225 true)) (?v_1728 (and x579 true)) (?v_1727 (= tmp295 6)) (?v_1730 (= tmp294 2)) (?v_1732 (= tmp293 6)) (?v_1734 (= tmp292 4)) (?v_1736 (= tmp291 6)) (?v_1738 (= tmp290 4)) (?v_1740 (= tmp289 4)) (?v_1742 (= tmp288 4)) (?v_1743 (= tmp287 6)) (?v_1744 (and ?v_745 true)) (?v_1746 (and x546 true)) (?v_1745 (= tmp286 6)) (?v_1748 (and ?v_777 true)) (?v_1749 (and x545 true)) (?v_1751 (and ?v_681 true)) (?v_1753 (and x548 true)) (?v_1752 (= tmp284 6)) (?v_1754 (and ?v_841 true)) (?v_1756 (and x543 true)) (?v_1755 (= tmp283 4)) (?v_1757 (and ?v_617 true)) (?v_1759 (and x550 true)) (?v_1758 (= tmp282 6)) (?v_1760 (and ?v_905 true)) (?v_1762 (and x541 true)) (?v_1761 (= tmp281 2)) (?v_1763 (and ?v_553 true)) (?v_1765 (and x552 true)) (?v_1764 (= tmp280 8)) (?v_1767 (and ?v_969 true)) (?v_1769 (and x539 true)) (?v_1768 (= tmp279 2)) (?v_1770 (and ?v_489 true)) (?v_1771 (and x554 true)) (?v_1772 (and ?v_1033 true)) (?v_1774 (and x537 true)) (?v_1773 (= tmp277 2)) (?v_1775 (and ?v_425 true)) (?v_1777 (and x556 true)) (?v_1776 (= tmp276 6)) (?v_1779 (and ?v_1097 true)) (?v_1781 (and x535 true)) (?v_1780 (= tmp275 2)) (?v_1782 (and ?v_361 true)) (?v_1784 (and x558 true)) (?v_1783 (= tmp274 6)) (?v_1786 (= tmp273 4)) (?v_1787 (and ?v_297 true)) (?v_1789 (and x560 true)) (?v_1788 (= tmp272 6)) (?v_1790 (= tmp271 6)) (?v_1791 (and ?v_233 true)) (?v_1793 (and x562 true)) (?v_1792 (= tmp270 8)) (?v_1794 (and ?v_1289 true)) (?v_1795 (and x529 true)) (?v_1796 (and ?v_169 true)) (?v_1798 (and x564 true)) (?v_1797 (= tmp268 6)) (?v_1799 (and ?v_1353 true)) (?v_1801 (and x527 true)) (?v_1800 (= tmp267 8)) (?v_1802 (and ?v_105 true)) (?v_1804 (and x566 true)) (?v_1803 (= tmp266 6)) (?v_1805 (and ?v_1417 true)) (?v_1807 (and x525 true)) (?v_1806 (= tmp265 8)) (?v_1808 (and ?v_41 true)) (?v_1809 (and x568 true)) (?v_1811 (and ?v_1481 true)) (?v_1813 (and x523 true)) (?v_1812 (= tmp263 6)) (?v_1815 (= tmp260 4)) (?v_1817 (and ?v_801 true)) (?v_1818 (and x496 true)) (?v_1820 (= tmp258 4)) (?v_1823 (= tmp256 2)) (?v_1825 (= tmp255 6)) (?v_1828 (and ?v_993 true)) (?v_1830 (and x490 true)) (?v_1829 (= tmp253 6)) (?v_1832 (= tmp252 4)) (?v_1834 (= tmp251 6)) (?v_1836 (= tmp250 6)) (?v_1837 (and ?v_1121 true)) (?v_1838 (and x486 true)) (?v_1840 (= tmp248 6)) (?v_1841 (and ?v_1185 true)) (?v_1842 (and x484 true)) (?v_1846 (= tmp244 6)) (?v_1851 (= tmp240 8)) (?v_1854 (and ?v_769 true)) (?v_1856 (and x451 true)) (?v_1855 (= tmp237 4)) (?v_1857 (and ?v_705 true)) (?v_1858 (and x453 true)) (?v_1859 (and ?v_833 true)) (?v_1861 (and x449 true)) (?v_1860 (= tmp235 4)) (?v_1862 (and ?v_609 true)) (?v_1863 (and x455 true)) (?v_1864 (and ?v_897 true)) (?v_1866 (and x447 true)) (?v_1865 (= tmp233 4)) (?v_1867 (and ?v_545 true)) (?v_1868 (and x457 true)) (?v_1870 (and ?v_961 true)) (?v_1872 (and x445 true)) (?v_1871 (= tmp231 4)) (?v_1873 (and ?v_481 true)) (?v_1875 (and x459 true)) (?v_1874 (= tmp230 6)) (?v_1876 (and ?v_1025 true)) (?v_1878 (and x443 true)) (?v_1877 (= tmp229 2)) (?v_1879 (and ?v_417 true)) (?v_1880 (and x461 true)) (?v_1882 (and ?v_1089 true)) (?v_1884 (and x441 true)) (?v_1883 (= tmp227 2)) (?v_1885 (and ?v_353 true)) (?v_1887 (and x463 true)) (?v_1886 (= tmp226 8)) (?v_1889 (= tmp225 4)) (?v_1890 (and ?v_289 true)) (?v_1892 (and x465 true)) (?v_1891 (= tmp224 8)) (?v_1893 (= tmp223 4)) (?v_1894 (and ?v_225 true)) (?v_1896 (and x467 true)) (?v_1895 (= tmp222 8)) (?v_1897 (and ?v_1281 true)) (?v_1899 (and x435 true)) (?v_1898 (= tmp221 4)) (?v_1900 (and ?v_161 true)) (?v_1902 (and x469 true)) (?v_1901 (= tmp220 6)) (?v_1903 (and ?v_1345 true)) (?v_1905 (and x433 true)) (?v_1904 (= tmp219 4)) (?v_1906 (and ?v_97 true)) (?v_1908 (and x471 true)) (?v_1907 (= tmp218 6)) (?v_1909 (and ?v_1409 true)) (?v_1910 (and x431 true)) (?v_1911 (and ?v_33 true)) (?v_1912 (and x473 true)) (?v_1913 (and ?v_1473 true)) (?v_1914 (and x429 true)) (?v_1917 (= tmp213 6)) (?v_1922 (= tmp209 4)) (?v_1924 (= tmp208 4)) (?v_1926 (= tmp207 4)) (?v_1928 (= tmp206 4)) (?v_1930 (= tmp205 4)) (?v_1932 (= tmp204 4)) (?v_1934 (= tmp203 2)) (?v_1937 (= tmp201 2)) (?v_1939 (= tmp200 6)) (?v_1941 (= tmp199 2)) (?v_1943 (= tmp198 6)) (?v_1945 (= tmp197 4)) (?v_1948 (= tmp195 6)) (?v_1950 (= tmp194 4)) (?v_1952 (= tmp193 6)) (?v_1954 (= tmp192 4)) (?v_1956 (= tmp191 4)) (?v_1958 (= tmp190 2)) (?v_1959 (= tmp189 2)) (?v_1961 (= tmp188 4)) (?v_1963 (= tmp187 4)) (?v_1965 (= tmp186 4)) (?v_1967 (= tmp185 6)) (?v_1969 (= tmp184 4)) (?v_1970 (= tmp183 6)) (?v_1973 (= tmp181 8)) (?v_1975 (= tmp180 6)) (?v_1976 (= tmp179 8)) (?v_1978 (= tmp178 6)) (?v_1979 (and ?v_1157 true)) (?v_1980 (and x343 true)) (?v_1982 (= tmp176 6)) (?v_1984 (and ?v_1221 true)) (?v_1986 (and x341 true)) (?v_1985 (= tmp175 6)) (?v_1988 (= tmp174 6)) (?v_1994 (= tmp169 4)) (?v_1996 (= tmp168 1)) (?v_1998 (= tmp167 4)) (?v_1999 (and ?v_741 true)) (?v_2001 (and x308 true)) (?v_2000 (= tmp166 4)) (?v_2003 (and ?v_773 true)) (?v_2005 (and x307 true)) (?v_2004 (= tmp165 4)) (?v_2006 (and ?v_677 true)) (?v_2008 (and x310 true)) (?v_2007 (= tmp164 4)) (?v_2009 (and ?v_837 true)) (?v_2010 (and x305 true)) (?v_2011 (and ?v_613 true)) (?v_2013 (and x312 true)) (?v_2012 (= tmp162 4)) (?v_2014 (and ?v_901 true)) (?v_2016 (and x303 true)) (?v_2015 (= tmp161 6)) (?v_2017 (and ?v_549 true)) (?v_2019 (and x314 true)) (?v_2018 (= tmp160 6)) (?v_2021 (and ?v_965 true)) (?v_2023 (and x301 true)) (?v_2022 (= tmp159 6)) (?v_2024 (and ?v_485 true)) (?v_2026 (and x316 true)) (?v_2025 (= tmp158 6)) (?v_2027 (and ?v_1029 true)) (?v_2028 (and x299 true)) (?v_2029 (and ?v_421 true)) (?v_2031 (and x318 true)) (?v_2030 (= tmp156 6)) (?v_2033 (and ?v_1093 true)) (?v_2035 (and x297 true)) (?v_2034 (= tmp155 4)) (?v_2036 (and ?v_357 true)) (?v_2038 (and x320 true)) (?v_2037 (= tmp154 6)) (?v_2040 (= tmp153 4)) (?v_2041 (and ?v_293 true)) (?v_2043 (and x322 true)) (?v_2042 (= tmp152 4)) (?v_2044 (and ?v_229 true)) (?v_2046 (and x324 true)) (?v_2045 (= tmp150 4)) (?v_2047 (and ?v_1285 true)) (?v_2048 (and x291 true)) (?v_2049 (and ?v_165 true)) (?v_2051 (and x326 true)) (?v_2050 (= tmp148 4)) (?v_2052 (and ?v_1349 true)) (?v_2053 (and x289 true)) (?v_2054 (and ?v_101 true)) (?v_2056 (and x328 true)) (?v_2055 (= tmp146 4)) (?v_2057 (and ?v_1413 true)) (?v_2059 (and x287 true)) (?v_2058 (= tmp145 4)) (?v_2060 (and ?v_37 true)) (?v_2062 (and x330 true)) (?v_2061 (= tmp144 4)) (?v_2063 (and ?v_1477 true)) (?v_2065 (and x285 true)) (?v_2064 (= tmp143 4)) (?v_2067 (= tmp142 2)) (?v_2069 (= tmp141 1)) (?v_2071 (= tmp140 4)) (?v_2072 (= tmp139 2)) (?v_2074 (= tmp138 4)) (?v_2076 (= tmp137 2)) (?v_2078 (= tmp136 4)) (?v_2080 (= tmp135 2)) (?v_2082 (= tmp134 4)) (?v_2083 (= tmp133 2)) (?v_2085 (= tmp132 4)) (?v_2087 (= tmp131 1)) (?v_2089 (= tmp130 2)) (?v_2091 (= tmp129 1)) (?v_2094 (= tmp127 1)) (?v_2096 (= tmp126 4)) (?v_2099 (= tmp124 6)) (?v_2101 (= tmp123 2)) (?v_2103 (= tmp122 6)) (?v_2105 (= tmp121 2)) (?v_2106 (and ?v_749 true)) (?v_2107 (and x216 true)) (?v_2109 (and ?v_781 true)) (?v_2111 (and x215 true)) (?v_2110 (= tmp119 2)) (?v_2112 (and ?v_685 true)) (?v_2113 (and x218 true)) (?v_2114 (and ?v_845 true)) (?v_2116 (and x213 true)) (?v_2115 (= tmp117 4)) (?v_2117 (and ?v_621 true)) (?v_2119 (and x220 true)) (?v_2118 (= tmp116 2)) (?v_2120 (and ?v_909 true)) (?v_2121 (and x211 true)) (?v_2122 (and ?v_557 true)) (?v_2124 (and x222 true)) (?v_2123 (= tmp114 2)) (?v_2126 (and ?v_973 true)) (?v_2128 (and x209 true)) (?v_2127 (= tmp113 6)) (?v_2129 (and ?v_493 true)) (?v_2131 (and x224 true)) (?v_2130 (= tmp112 2)) (?v_2132 (and ?v_1037 true)) (?v_2134 (and x207 true)) (?v_2133 (= tmp111 6)) (?v_2135 (and ?v_429 true)) (?v_2137 (and x226 true)) (?v_2136 (= tmp110 2)) (?v_2138 (and ?v_1101 true)) (?v_2140 (and x205 true)) (?v_2139 (= tmp109 6)) (?v_2141 (and ?v_365 true)) (?v_2143 (and x228 true)) (?v_2142 (= tmp108 2)) (?v_2144 (and ?v_1165 true)) (?v_2146 (and x203 true)) (?v_2145 (= tmp107 6)) (?v_2147 (and ?v_301 true)) (?v_2149 (and x230 true)) (?v_2148 (= tmp106 2)) (?v_2150 (and ?v_1229 true)) (?v_2152 (and x201 true)) (?v_2151 (= tmp105 4)) (?v_2153 (and ?v_237 true)) (?v_2155 (and x232 true)) (?v_2154 (= tmp104 2)) (?v_2156 (and ?v_1293 true)) (?v_2158 (and x199 true)) (?v_2157 (= tmp103 4)) (?v_2159 (and ?v_173 true)) (?v_2161 (and x234 true)) (?v_2160 (= tmp102 2)) (?v_2162 (and ?v_1357 true)) (?v_2164 (and x197 true)) (?v_2163 (= tmp101 4)) (?v_2165 (and ?v_109 true)) (?v_2167 (and x236 true)) (?v_2166 (= tmp100 2)) (?v_2168 (and ?v_1421 true)) (?v_2170 (and x195 true)) (?v_2169 (= tmp99 6)) (?v_2171 (and ?v_45 true)) (?v_2173 (and x238 true)) (?v_2172 (= tmp98 2)) (?v_2174 (and ?v_1485 true)) (?v_2176 (and x193 true)) (?v_2175 (= tmp97 6)) (?v_2178 (= tmp96 4)) (?v_2179 (= tmp95 4)) (?v_2182 (= tmp93 6)) (?v_2184 (= tmp92 4)) (?v_2186 (= tmp91 6)) (?v_2188 (= tmp90 2)) (?v_2189 (= tmp89 6)) (?v_2192 (= tmp87 6)) (?v_2194 (= tmp86 6)) (?v_2197 (= tmp84 6)) (?v_2199 (= tmp83 4)) (?v_2201 (= tmp82 4)) (?v_2203 (= tmp81 4)) (?v_2205 (= tmp80 6)) (?v_2208 (= tmp78 6)) (?v_2212 (= tmp75 4)) (?v_2214 (= tmp74 2)) (?v_2216 (= tmp73 4)) (?v_2217 (and ?v_757 true)) (?v_2218 (and x120 true)) (?v_2219 (and ?v_693 true)) (?v_2220 (and x122 true)) (?v_2221 (and ?v_853 true)) (?v_2222 (and x118 true)) (?v_2223 (and ?v_629 true)) (?v_2225 (and x124 true)) (?v_2224 (= tmp68 6)) (?v_2226 (and ?v_917 true)) (?v_2227 (and x116 true)) (?v_2228 (and ?v_565 true)) (?v_2230 (and x126 true)) (?v_2229 (= tmp66 4)) (?v_2232 (and ?v_981 true)) (?v_2234 (and x114 true)) (?v_2233 (= tmp65 4)) (?v_2235 (and ?v_501 true)) (?v_2237 (and x128 true)) (?v_2236 (= tmp64 2)) (?v_2238 (and ?v_1045 true)) (?v_2240 (and x112 true)) (?v_2239 (= tmp63 4)) (?v_2241 (and ?v_437 true)) (?v_2242 (and x130 true)) (?v_2243 (and ?v_1109 true)) (?v_2245 (and x110 true)) (?v_2244 (= tmp61 4)) (?v_2246 (and ?v_373 true)) (?v_2247 (and x132 true)) (?v_2248 (and ?v_1173 true)) (?v_2249 (and x108 true)) (?v_2250 (and ?v_309 true)) (?v_2251 (and x134 true)) (?v_2252 (and ?v_1237 true)) (?v_2254 (and x106 true)) (?v_2253 (= tmp57 6)) (?v_2255 (and ?v_245 true)) (?v_2257 (and x136 true)) (?v_2256 (= tmp56 4)) (?v_2258 (and ?v_1301 true)) (?v_2260 (and x104 true)) (?v_2259 (= tmp55 6)) (?v_2261 (and ?v_181 true)) (?v_2262 (and x138 true)) (?v_2263 (and ?v_1365 true)) (?v_2265 (and x102 true)) (?v_2264 (= tmp53 6)) (?v_2266 (and ?v_117 true)) (?v_2267 (and x140 true)) (?v_2268 (and ?v_1429 true)) (?v_2270 (and x100 true)) (?v_2269 (= tmp51 4)) (?v_2271 (and ?v_53 true)) (?v_2273 (and x142 true)) (?v_2272 (= tmp50 6)) (?v_2274 (and ?v_1493 true)) (?v_2275 (and x98 true)) (?v_2279 (= tmp46 2)) (?v_2281 (= tmp45 4)) (?v_2283 (= tmp44 4)) (?v_2285 (= tmp43 4)) (?v_2290 (= tmp38 4)) (?v_2292 (= tmp37 2)) (?v_2294 (= tmp36 4)) (?v_2296 (= tmp35 4)) (?v_2298 (= tmp34 4)) (?v_2301 (= tmp32 4)) (?v_2303 (= tmp31 4)) (?v_2306 (= tmp29 4)) (?v_2310 (= tmp26 4)) (?v_2312 (= tmp25 2)) (?v_2313 (and ?v_765 true)) (?v_2314 (and x25 true)) (?v_2315 (and ?v_701 true)) (?v_2317 (and x27 true)) (?v_2316 (= tmp22 4)) (?v_2318 (and ?v_829 true)) (?v_2320 (and x23 true)) (?v_2319 (= tmp21 2)) (?v_2321 (and ?v_637 true)) (?v_2322 (and x29 true)) (?v_2323 (and ?v_893 true)) (?v_2325 (and x21 true)) (?v_2324 (= tmp19 2)) (?v_2326 (and ?v_573 true)) (?v_2328 (and x31 true)) (?v_2327 (= tmp18 2)) (?v_2329 (and ?v_957 true)) (?v_2330 (and x19 true)) (?v_2331 (and ?v_509 true)) (?v_2333 (and x33 true)) (?v_2332 (= tmp16 4)) (?v_2334 (and ?v_1053 true)) (?v_2335 (and x17 true)) (?v_2336 (and ?v_445 true)) (?v_2338 (and x35 true)) (?v_2337 (= tmp14 6)) (?v_2339 (and ?v_1117 true)) (?v_2341 (and x15 true)) (?v_2340 (= tmp13 2)) (?v_2342 (and ?v_381 true)) (?v_2344 (and x37 true)) (?v_2343 (= tmp12 6)) (?v_2345 (and ?v_1181 true)) (?v_2347 (and x13 true)) (?v_2346 (= tmp11 2)) (?v_2348 (and ?v_317 true)) (?v_2349 (and x39 true)) (?v_2350 (and ?v_1245 true)) (?v_2352 (and x11 true)) (?v_2351 (= tmp9 2)) (?v_2353 (and ?v_253 true)) (?v_2355 (and x41 true)) (?v_2354 (= tmp8 6)) (?v_2356 (and ?v_1309 true)) (?v_2357 (and x9 true)) (?v_2358 (and ?v_189 true)) (?v_2359 (and x43 true)) (?v_2360 (and ?v_1373 true)) (?v_2362 (and x7 true)) (?v_2361 (= tmp5 4)) (?v_2363 (and ?v_125 true)) (?v_2365 (and x45 true)) (?v_2364 (= tmp4 4)) (?v_2366 (and ?v_1437 true)) (?v_2368 (and x5 true)) (?v_2367 (= tmp3 4)) (?v_2369 (and ?v_61 true)) (?v_2371 (and x47 true)) (?v_2370 (= tmp2 4)) (?v_2372 (and ?v_1501 true)) (?v_2374 (and x3 true)) (?v_2373 (= tmp1 4)) (?v_0 (* (- 1) x1))) (and (<= (+ 0 ?v_0) (- 27)) (= (+ (+ (* 1 tmp766) 0) (+ (* 1 tmp764) (+ (* 1 tmp762) (+ (* 1 tmp760) (+ (* 1 tmp759) (+ (* 1 tmp761) (+ (* 1 tmp763) (+ (* 1 tmp765) 0)))))))) 1) (= (+ (+ (* 1 tmp758) 0) (+ (* 1 tmp756) (+ (* 1 tmp754) (+ (* 1 tmp752) (+ (* 1 tmp751) (+ (* 1 tmp753) (+ (* 1 tmp755) (+ (* 1 tmp757) 0)))))))) 1) (= (+ (+ (* 1 tmp750) 0) (+ (* 1 tmp748) (+ (* 1 tmp746) (+ (* 1 tmp744) (+ (* 1 tmp743) (+ (* 1 tmp745) (+ (* 1 tmp747) (+ (* 1 tmp749) 0)))))))) 1) (= (+ (+ (* 1 tmp742) 0) (+ (* 1 tmp740) (+ (* 1 tmp738) (+ (* 1 tmp736) (+ (* 1 tmp735) (+ (* 1 tmp737) (+ (* 1 tmp739) (+ (* 1 tmp741) 0)))))))) 1) (= (+ (+ (* 1 tmp734) 0) (+ (* 1 tmp732) (+ (* 1 tmp730) (+ (* 1 tmp728) (+ (* 1 tmp727) (+ (* 1 tmp729) (+ (* 1 tmp731) (+ (* 1 tmp733) 0)))))))) 1) (= (+ (+ (* 1 tmp726) 0) (+ (* 1 tmp724) (+ (* 1 tmp722) (+ (* 1 tmp720) (+ (* 1 tmp719) (+ (* 1 tmp721) (+ (* 1 tmp723) (+ (* 1 tmp725) 0)))))))) 1) (= (+ (+ (* 1 tmp718) 0) (+ (* 1 tmp716) (+ (* 1 tmp714) (+ (* 1 tmp712) (+ (* 1 tmp711) (+ (* 1 tmp713) (+ (* 1 tmp715) (+ (* 1 tmp717) 0)))))))) 1) (= (+ (+ (* 1 tmp710) 0) (+ (* 1 tmp708) (+ (* 1 tmp706) (+ (* 1 tmp704) (+ (* 1 tmp703) (+ (* 1 tmp705) (+ (* 1 tmp707) (+ (* 1 tmp709) 0)))))))) 1) (= (+ (+ (* 1 tmp702) 0) (+ (* 1 tmp700) (+ (* 1 tmp698) (+ (* 1 tmp696) (+ (* 1 tmp695) (+ (* 1 tmp697) (+ (* 1 tmp699) (+ (* 1 tmp701) 0)))))))) 1) (= (+ (+ (* 1 tmp694) 0) (+ (* 1 tmp692) (+ (* 1 tmp690) (+ (* 1 tmp688) (+ (* 1 tmp687) (+ (* 1 tmp689) (+ (* 1 tmp691) (+ (* 1 tmp693) 0)))))))) 1) (= (+ (+ (* 1 tmp686) 0) (+ (* 1 tmp684) (+ (* 1 tmp682) (+ (* 1 tmp680) (+ (* 1 tmp679) (+ (* 1 tmp681) (+ (* 1 tmp683) (+ (* 1 tmp685) 0)))))))) 1) (= (+ (+ (* 1 tmp678) 0) (+ (* 1 tmp676) (+ (* 1 tmp674) (+ (* 1 tmp672) (+ (* 1 tmp671) (+ (* 1 tmp673) (+ (* 1 tmp675) (+ (* 1 tmp677) 0)))))))) 1) (= (+ (+ (* 1 tmp670) 0) (+ (* 1 tmp668) (+ (* 1 tmp666) (+ (* 1 tmp664) (+ (* 1 tmp663) (+ (* 1 tmp665) (+ (* 1 tmp667) (+ (* 1 tmp669) 0)))))))) 1) (= (+ (+ (* 1 tmp662) 0) (+ (* 1 tmp660) (+ (* 1 tmp658) (+ (* 1 tmp656) (+ (* 1 tmp655) (+ (* 1 tmp657) (+ (* 1 tmp659) (+ (* 1 tmp661) 0)))))))) 1) (= (+ (+ (* 1 tmp654) 0) (+ (* 1 tmp652) (+ (* 1 tmp650) (+ (* 1 tmp648) (+ (* 1 tmp647) (+ (* 1 tmp649) (+ (* 1 tmp651) (+ (* 1 tmp653) 0)))))))) 1) (= (+ (+ (* 1 tmp646) 0) (+ (* 1 tmp644) (+ (* 1 tmp642) (+ (* 1 tmp640) (+ (* 1 tmp639) (+ (* 1 tmp641) (+ (* 1 tmp643) (+ (* 1 tmp645) 0)))))))) 1) (= (+ (+ (* 1 tmp638) 0) (+ (* 1 tmp636) (+ (* 1 tmp634) (+ (* 1 tmp632) (+ (* 1 tmp631) (+ (* 1 tmp633) (+ (* 1 tmp635) (+ (* 1 tmp637) 0)))))))) 1) (= (+ (+ (* 1 tmp630) 0) (+ (* 1 tmp628) (+ (* 1 tmp626) (+ (* 1 tmp624) (+ (* 1 tmp623) (+ (* 1 tmp625) (+ (* 1 tmp627) (+ (* 1 tmp629) 0)))))))) 1) (= (+ (+ (* 1 tmp622) 0) (+ (* 1 tmp620) (+ (* 1 tmp618) (+ (* 1 tmp616) (+ (* 1 tmp615) (+ (* 1 tmp617) (+ (* 1 tmp619) (+ (* 1 tmp621) 0)))))))) 1) (= (+ (+ (* 1 tmp614) 0) (+ (* 1 tmp612) (+ (* 1 tmp610) (+ (* 1 tmp608) (+ (* 1 tmp607) (+ (* 1 tmp609) (+ (* 1 tmp611) (+ (* 1 tmp613) 0)))))))) 1) (= (+ (+ (* 1 tmp606) 0) (+ (* 1 tmp604) (+ (* 1 tmp602) (+ (* 1 tmp600) (+ (* 1 tmp599) (+ (* 1 tmp601) (+ (* 1 tmp603) (+ (* 1 tmp605) 0)))))))) 1) (= (+ (+ (* 1 tmp598) 0) (+ (* 1 tmp596) (+ (* 1 tmp594) (+ (* 1 tmp592) (+ (* 1 tmp591) (+ (* 1 tmp593) (+ (* 1 tmp595) (+ (* 1 tmp597) 0)))))))) 1) (= (+ (+ (* 1 tmp590) 0) (+ (* 1 tmp588) (+ (* 1 tmp586) (+ (* 1 tmp584) (+ (* 1 tmp583) (+ (* 1 tmp585) (+ (* 1 tmp587) (+ (* 1 tmp589) 0)))))))) 1) (= (+ (+ (* 1 tmp582) 0) (+ (* 1 tmp580) (+ (* 1 tmp578) (+ (* 1 tmp576) (+ (* 1 tmp575) (+ (* 1 tmp577) (+ (* 1 tmp579) (+ (* 1 tmp581) 0)))))))) 1) (= (+ (+ (* 1 tmp574) 0) (+ (* 1 tmp572) (+ (* 1 tmp570) (+ (* 1 tmp568) (+ (* 1 tmp567) (+ (* 1 tmp569) (+ (* 1 tmp571) (+ (* 1 tmp573) 0)))))))) 1) (= (+ (+ (* 1 tmp566) 0) (+ (* 1 tmp564) (+ (* 1 tmp562) (+ (* 1 tmp560) (+ (* 1 tmp559) (+ (* 1 tmp561) (+ (* 1 tmp563) (+ (* 1 tmp565) 0)))))))) 1) (= (+ (+ (* 1 tmp558) 0) (+ (* 1 tmp556) (+ (* 1 tmp554) (+ (* 1 tmp552) (+ (* 1 tmp551) (+ (* 1 tmp553) (+ (* 1 tmp555) (+ (* 1 tmp557) 0)))))))) 1) (= (+ (+ (* 1 tmp550) 0) (+ (* 1 tmp548) (+ (* 1 tmp546) (+ (* 1 tmp544) (+ (* 1 tmp543) (+ (* 1 tmp545) (+ (* 1 tmp547) (+ (* 1 tmp549) 0)))))))) 1) (= (+ (+ (* 1 tmp542) 0) (+ (* 1 tmp540) (+ (* 1 tmp538) (+ (* 1 tmp536) (+ (* 1 tmp535) (+ (* 1 tmp537) (+ (* 1 tmp539) (+ (* 1 tmp541) 0)))))))) 1) (= (+ (+ (* 1 tmp534) 0) (+ (* 1 tmp532) (+ (* 1 tmp530) (+ (* 1 tmp528) (+ (* 1 tmp527) (+ (* 1 tmp529) (+ (* 1 tmp531) (+ (* 1 tmp533) 0)))))))) 1) (= (+ (+ (* 1 tmp526) 0) (+ (* 1 tmp524) (+ (* 1 tmp522) (+ (* 1 tmp520) (+ (* 1 tmp519) (+ (* 1 tmp521) (+ (* 1 tmp523) (+ (* 1 tmp525) 0)))))))) 1) (= (+ (+ (* 1 tmp518) 0) (+ (* 1 tmp516) (+ (* 1 tmp514) (+ (* 1 tmp512) (+ (* 1 tmp511) (+ (* 1 tmp513) (+ (* 1 tmp515) (+ (* 1 tmp517) 0)))))))) 1) (= (+ (+ (* 1 tmp510) 0) (+ (* 1 tmp508) (+ (* 1 tmp506) (+ (* 1 tmp504) (+ (* 1 tmp503) (+ (* 1 tmp505) (+ (* 1 tmp507) (+ (* 1 tmp509) 0)))))))) 1) (= (+ (+ (* 1 tmp502) 0) (+ (* 1 tmp500) (+ (* 1 tmp498) (+ (* 1 tmp496) (+ (* 1 tmp495) (+ (* 1 tmp497) (+ (* 1 tmp499) (+ (* 1 tmp501) 0)))))))) 1) (= (+ (+ (* 1 tmp494) 0) (+ (* 1 tmp492) (+ (* 1 tmp490) (+ (* 1 tmp488) (+ (* 1 tmp487) (+ (* 1 tmp489) (+ (* 1 tmp491) (+ (* 1 tmp493) 0)))))))) 1) (= (+ (+ (* 1 tmp486) 0) (+ (* 1 tmp484) (+ (* 1 tmp482) (+ (* 1 tmp480) (+ (* 1 tmp479) (+ (* 1 tmp481) (+ (* 1 tmp483) (+ (* 1 tmp485) 0)))))))) 1) (= (+ (+ (* 1 tmp478) 0) (+ (* 1 tmp476) (+ (* 1 tmp474) (+ (* 1 tmp472) (+ (* 1 tmp471) (+ (* 1 tmp473) (+ (* 1 tmp475) (+ (* 1 tmp477) 0)))))))) 1) (= (+ (+ (* 1 tmp470) 0) (+ (* 1 tmp468) (+ (* 1 tmp466) (+ (* 1 tmp464) (+ (* 1 tmp463) (+ (* 1 tmp465) (+ (* 1 tmp467) (+ (* 1 tmp469) 0)))))))) 1) (= (+ (+ (* 1 tmp462) 0) (+ (* 1 tmp460) (+ (* 1 tmp458) (+ (* 1 tmp456) (+ (* 1 tmp455) (+ (* 1 tmp457) (+ (* 1 tmp459) (+ (* 1 tmp461) 0)))))))) 1) (= (+ (+ (* 1 tmp454) 0) (+ (* 1 tmp452) (+ (* 1 tmp450) (+ (* 1 tmp448) (+ (* 1 tmp447) (+ (* 1 tmp449) (+ (* 1 tmp451) (+ (* 1 tmp453) 0)))))))) 1) (= (+ (+ (* 1 tmp446) 0) (+ (* 1 tmp444) (+ (* 1 tmp442) (+ (* 1 tmp440) (+ (* 1 tmp439) (+ (* 1 tmp441) (+ (* 1 tmp443) (+ (* 1 tmp445) 0)))))))) 1) (= (+ (+ (* 1 tmp438) 0) (+ (* 1 tmp436) (+ (* 1 tmp434) (+ (* 1 tmp432) (+ (* 1 tmp431) (+ (* 1 tmp433) (+ (* 1 tmp435) (+ (* 1 tmp437) 0)))))))) 1) (= (+ (+ (* 1 tmp430) 0) (+ (* 1 tmp428) (+ (* 1 tmp426) (+ (* 1 tmp424) (+ (* 1 tmp423) (+ (* 1 tmp425) (+ (* 1 tmp427) (+ (* 1 tmp429) 0)))))))) 1) (= (+ (+ (* 1 tmp422) 0) (+ (* 1 tmp420) (+ (* 1 tmp418) (+ (* 1 tmp416) (+ (* 1 tmp415) (+ (* 1 tmp417) (+ (* 1 tmp419) (+ (* 1 tmp421) 0)))))))) 1) (= (+ (+ (* 1 tmp414) 0) (+ (* 1 tmp412) (+ (* 1 tmp410) (+ (* 1 tmp408) (+ (* 1 tmp407) (+ (* 1 tmp409) (+ (* 1 tmp411) (+ (* 1 tmp413) 0)))))))) 1) (= (+ (+ (* 1 tmp406) 0) (+ (* 1 tmp404) (+ (* 1 tmp402) (+ (* 1 tmp400) (+ (* 1 tmp399) (+ (* 1 tmp401) (+ (* 1 tmp403) (+ (* 1 tmp405) 0)))))))) 1) (= (+ (+ (* 1 tmp398) 0) (+ (* 1 tmp396) (+ (* 1 tmp394) (+ (* 1 tmp392) (+ (* 1 tmp391) (+ (* 1 tmp393) (+ (* 1 tmp395) (+ (* 1 tmp397) 0)))))))) 1) (= (+ (+ (* 1 tmp390) 0) (+ (* 1 tmp388) (+ (* 1 tmp386) (+ (* 1 tmp384) (+ (* 1 tmp383) (+ (* 1 tmp385) (+ (* 1 tmp387) (+ (* 1 tmp389) 0)))))))) 1) (>= (+ (+ (* 1 tmp382) 0) (+ (* 1 tmp380) (+ (* 1 tmp378) (+ (* 1 tmp376) (+ (* 1 tmp374) (+ (* 1 tmp372) (+ (* 1 tmp370) (+ (* 1 tmp368) (+ (* 1 tmp366) (+ (* 1 tmp364) (+ (* 1 tmp362) (+ (* 1 tmp360) (+ ?v_0 (+ (* 1 tmp359) (+ (* 1 tmp361) (+ (* 1 tmp363) (+ (* 1 tmp365) (+ (* 1 tmp367) (+ (* 1 tmp369) (+ (* 1 tmp371) (+ (* 1 tmp373) (+ (* 1 tmp375) (+ (* 1 tmp377) (+ (* 1 tmp379) (+ (* 1 tmp381) 0))))))))))))))))))))))))) 0) (>= (+ (+ (* 1 tmp358) 0) (+ (* 1 tmp356) (+ (* 1 tmp354) (+ (* 1 tmp352) (+ (* 1 tmp350) (+ (* 1 tmp348) (+ (* 1 tmp346) (+ (* 1 tmp344) (+ (* 1 tmp342) (+ (* 1 tmp340) (+ (* 1 tmp338) (+ (* 1 tmp336) (+ ?v_0 (+ (* 1 tmp335) (+ (* 1 tmp337) (+ (* 1 tmp339) (+ (* 1 tmp341) (+ (* 1 tmp343) (+ (* 1 tmp345) (+ (* 1 tmp347) (+ (* 1 tmp349) (+ (* 1 tmp351) (+ (* 1 tmp353) (+ (* 1 tmp355) (+ (* 1 tmp357) 0))))))))))))))))))))))))) 0) (>= (+ (+ (* 1 tmp334) 0) (+ (* 1 tmp332) (+ (* 1 tmp330) (+ (* 1 tmp328) (+ (* 1 tmp326) (+ (* 1 tmp324) (+ (* 1 tmp322) (+ (* 1 tmp320) (+ (* 1 tmp318) (+ (* 1 tmp316) (+ (* 1 tmp314) (+ (* 1 tmp312) (+ ?v_0 (+ (* 1 tmp311) (+ (* 1 tmp313) (+ (* 1 tmp315) (+ (* 1 tmp317) (+ (* 1 tmp319) (+ (* 1 tmp321) (+ (* 1 tmp323) (+ (* 1 tmp325) (+ (* 1 tmp327) (+ (* 1 tmp329) (+ (* 1 tmp331) (+ (* 1 tmp333) 0))))))))))))))))))))))))) 0) (>= (+ (+ (* 1 tmp310) 0) (+ (* 1 tmp308) (+ (* 1 tmp306) (+ (* 1 tmp304) (+ (* 1 tmp302) (+ (* 1 tmp300) (+ (* 1 tmp298) (+ (* 1 tmp296) (+ (* 1 tmp294) (+ (* 1 tmp292) (+ (* 1 tmp290) (+ (* 1 tmp288) (+ ?v_0 (+ (* 1 tmp287) (+ (* 1 tmp289) (+ (* 1 tmp291) (+ (* 1 tmp293) (+ (* 1 tmp295) (+ (* 1 tmp297) (+ (* 1 tmp299) (+ (* 1 tmp301) (+ (* 1 tmp303) (+ (* 1 tmp305) (+ (* 1 tmp307) (+ (* 1 tmp309) 0))))))))))))))))))))))))) 0) (>= (+ (+ (* 1 tmp286) 0) (+ (* 1 tmp284) (+ (* 1 tmp282) (+ (* 1 tmp280) (+ (* 1 tmp278) (+ (* 1 tmp276) (+ (* 1 tmp274) (+ (* 1 tmp272) (+ (* 1 tmp270) (+ (* 1 tmp268) (+ (* 1 tmp266) (+ (* 1 tmp264) (+ ?v_0 (+ (* 1 tmp263) (+ (* 1 tmp265) (+ (* 1 tmp267) (+ (* 1 tmp269) (+ (* 1 tmp271) (+ (* 1 tmp273) (+ (* 1 tmp275) (+ (* 1 tmp277) (+ (* 1 tmp279) (+ (* 1 tmp281) (+ (* 1 tmp283) (+ (* 1 tmp285) 0))))))))))))))))))))))))) 0) (>= (+ (+ (* 1 tmp262) 0) (+ (* 1 tmp260) (+ (* 1 tmp258) (+ (* 1 tmp256) (+ (* 1 tmp254) (+ (* 1 tmp252) (+ (* 1 tmp250) (+ (* 1 tmp248) (+ (* 1 tmp246) (+ (* 1 tmp244) (+ (* 1 tmp242) (+ (* 1 tmp240) (+ ?v_0 (+ (* 1 tmp239) (+ (* 1 tmp241) (+ (* 1 tmp243) (+ (* 1 tmp245) (+ (* 1 tmp247) (+ (* 1 tmp249) (+ (* 1 tmp251) (+ (* 1 tmp253) (+ (* 1 tmp255) (+ (* 1 tmp257) (+ (* 1 tmp259) (+ (* 1 tmp261) 0))))))))))))))))))))))))) 0) (>= (+ (+ (* 1 tmp238) 0) (+ (* 1 tmp236) (+ (* 1 tmp234) (+ (* 1 tmp232) (+ (* 1 tmp230) (+ (* 1 tmp228) (+ (* 1 tmp226) (+ (* 1 tmp224) (+ (* 1 tmp222) (+ (* 1 tmp220) (+ (* 1 tmp218) (+ (* 1 tmp216) (+ ?v_0 (+ (* 1 tmp215) (+ (* 1 tmp217) (+ (* 1 tmp219) (+ (* 1 tmp221) (+ (* 1 tmp223) (+ (* 1 tmp225) (+ (* 1 tmp227) (+ (* 1 tmp229) (+ (* 1 tmp231) (+ (* 1 tmp233) (+ (* 1 tmp235) (+ (* 1 tmp237) 0))))))))))))))))))))))))) 0) (>= (+ (+ (* 1 tmp214) 0) (+ (* 1 tmp212) (+ (* 1 tmp210) (+ (* 1 tmp208) (+ (* 1 tmp206) (+ (* 1 tmp204) (+ (* 1 tmp202) (+ (* 1 tmp200) (+ (* 1 tmp198) (+ (* 1 tmp196) (+ (* 1 tmp194) (+ (* 1 tmp192) (+ ?v_0 (+ (* 1 tmp191) (+ (* 1 tmp193) (+ (* 1 tmp195) (+ (* 1 tmp197) (+ (* 1 tmp199) (+ (* 1 tmp201) (+ (* 1 tmp203) (+ (* 1 tmp205) (+ (* 1 tmp207) (+ (* 1 tmp209) (+ (* 1 tmp211) (+ (* 1 tmp213) 0))))))))))))))))))))))))) 0) (>= (+ (+ (* 1 tmp190) 0) (+ (* 1 tmp188) (+ (* 1 tmp186) (+ (* 1 tmp184) (+ (* 1 tmp182) (+ (* 1 tmp180) (+ (* 1 tmp178) (+ (* 1 tmp176) (+ (* 1 tmp174) (+ (* 1 tmp172) (+ (* 1 tmp170) (+ (* 1 tmp168) (+ ?v_0 (+ (* 1 tmp167) (+ (* 1 tmp169) (+ (* 1 tmp171) (+ (* 1 tmp173) (+ (* 1 tmp175) (+ (* 1 tmp177) (+ (* 1 tmp179) (+ (* 1 tmp181) (+ (* 1 tmp183) (+ (* 1 tmp185) (+ (* 1 tmp187) (+ (* 1 tmp189) 0))))))))))))))))))))))))) 0) (>= (+ (+ (* 1 tmp166) 0) (+ (* 1 tmp164) (+ (* 1 tmp162) (+ (* 1 tmp160) (+ (* 1 tmp158) (+ (* 1 tmp156) (+ (* 1 tmp154) (+ (* 1 tmp152) (+ (* 1 tmp150) (+ (* 1 tmp148) (+ (* 1 tmp146) (+ (* 1 tmp144) (+ ?v_0 (+ (* 1 tmp143) (+ (* 1 tmp145) (+ (* 1 tmp147) (+ (* 1 tmp149) (+ (* 1 tmp151) (+ (* 1 tmp153) (+ (* 1 tmp155) (+ (* 1 tmp157) (+ (* 1 tmp159) (+ (* 1 tmp161) (+ (* 1 tmp163) (+ (* 1 tmp165) 0))))))))))))))))))))))))) 0) (>= (+ (+ (* 1 tmp142) 0) (+ (* 1 tmp140) (+ (* 1 tmp138) (+ (* 1 tmp136) (+ (* 1 tmp134) (+ (* 1 tmp132) (+ (* 1 tmp130) (+ (* 1 tmp128) (+ (* 1 tmp126) (+ (* 1 tmp124) (+ (* 1 tmp122) (+ ?v_0 (+ (* 1 tmp121) (+ (* 1 tmp123) (+ (* 1 tmp125) (+ (* 1 tmp127) (+ (* 1 tmp129) (+ (* 1 tmp131) (+ (* 1 tmp133) (+ (* 1 tmp135) (+ (* 1 tmp137) (+ (* 1 tmp139) (+ (* 1 tmp141) 0))))))))))))))))))))))) 0) (>= (+ (+ (* 1 tmp120) 0) (+ (* 1 tmp118) (+ (* 1 tmp116) (+ (* 1 tmp114) (+ (* 1 tmp112) (+ (* 1 tmp110) (+ (* 1 tmp108) (+ (* 1 tmp106) (+ (* 1 tmp104) (+ (* 1 tmp102) (+ (* 1 tmp100) (+ (* 1 tmp98) (+ ?v_0 (+ (* 1 tmp97) (+ (* 1 tmp99) (+ (* 1 tmp101) (+ (* 1 tmp103) (+ (* 1 tmp105) (+ (* 1 tmp107) (+ (* 1 tmp109) (+ (* 1 tmp111) (+ (* 1 tmp113) (+ (* 1 tmp115) (+ (* 1 tmp117) (+ (* 1 tmp119) 0))))))))))))))))))))))))) 0) (>= (+ (+ (* 1 tmp96) 0) (+ (* 1 tmp94) (+ (* 1 tmp92) (+ (* 1 tmp90) (+ (* 1 tmp88) (+ (* 1 tmp86) (+ (* 1 tmp84) (+ (* 1 tmp82) (+ (* 1 tmp80) (+ (* 1 tmp78) (+ (* 1 tmp76) (+ (* 1 tmp74) (+ ?v_0 (+ (* 1 tmp73) (+ (* 1 tmp75) (+ (* 1 tmp77) (+ (* 1 tmp79) (+ (* 1 tmp81) (+ (* 1 tmp83) (+ (* 1 tmp85) (+ (* 1 tmp87) (+ (* 1 tmp89) (+ (* 1 tmp91) (+ (* 1 tmp93) (+ (* 1 tmp95) 0))))))))))))))))))))))))) 0) (>= (+ (+ (* 1 tmp72) 0) (+ (* 1 tmp70) (+ (* 1 tmp68) (+ (* 1 tmp66) (+ (* 1 tmp64) (+ (* 1 tmp62) (+ (* 1 tmp60) (+ (* 1 tmp58) (+ (* 1 tmp56) (+ (* 1 tmp54) (+ (* 1 tmp52) (+ (* 1 tmp50) (+ ?v_0 (+ (* 1 tmp49) (+ (* 1 tmp51) (+ (* 1 tmp53) (+ (* 1 tmp55) (+ (* 1 tmp57) (+ (* 1 tmp59) (+ (* 1 tmp61) (+ (* 1 tmp63) (+ (* 1 tmp65) (+ (* 1 tmp67) (+ (* 1 tmp69) (+ (* 1 tmp71) 0))))))))))))))))))))))))) 0) (>= (+ (+ (* 1 tmp48) 0) (+ (* 1 tmp46) (+ (* 1 tmp44) (+ (* 1 tmp42) (+ (* 1 tmp40) (+ (* 1 tmp38) (+ (* 1 tmp36) (+ (* 1 tmp34) (+ (* 1 tmp32) (+ (* 1 tmp30) (+ (* 1 tmp28) (+ (* 1 tmp26) (+ ?v_0 (+ (* 1 tmp25) (+ (* 1 tmp27) (+ (* 1 tmp29) (+ (* 1 tmp31) (+ (* 1 tmp33) (+ (* 1 tmp35) (+ (* 1 tmp37) (+ (* 1 tmp39) (+ (* 1 tmp41) (+ (* 1 tmp43) (+ (* 1 tmp45) (+ (* 1 tmp47) 0))))))))))))))))))))))))) 0) (>= (+ (+ (* 1 tmp24) 0) (+ (* 1 tmp22) (+ (* 1 tmp20) (+ (* 1 tmp18) (+ (* 1 tmp16) (+ (* 1 tmp14) (+ (* 1 tmp12) (+ (* 1 tmp10) (+ (* 1 tmp8) (+ (* 1 tmp6) (+ (* 1 tmp4) (+ (* 1 tmp2) (+ ?v_0 (+ (* 1 tmp1) (+ (* 1 tmp3) (+ (* 1 tmp5) (+ (* 1 tmp7) (+ (* 1 tmp9) (+ (* 1 tmp11) (+ (* 1 tmp13) (+ (* 1 tmp15) (+ (* 1 tmp17) (+ (* 1 tmp19) (+ (* 1 tmp21) (+ (* 1 tmp23) 0))))))))))))))))))))))))) 0) (<= x1 384) (>= x1 0) (=> (and ?v_1 ?v_2) (= tmp766 0)) (=> (and ?v_1 ?v_4) ?v_3) (=> (and x474 ?v_2) ?v_3) (=> (and x474 ?v_4) (= tmp766 2)) (=> (and ?v_5 ?v_6) (= tmp765 0)) (=> (and ?v_5 ?v_8) ?v_7) (=> (and x331 ?v_6) ?v_7) (=> (and x331 ?v_8) (= tmp765 2)) (=> (and ?v_9 ?v_10) (= tmp764 0)) (=> (and ?v_9 ?v_12) ?v_11) (=> (and x569 ?v_10) ?v_11) (=> (and x569 ?v_12) (= tmp764 2)) (=> (and ?v_13 ?v_14) (= tmp763 0)) (=> (and ?v_13 ?v_16) ?v_15) (=> (and x239 ?v_14) ?v_15) (=> (and x239 ?v_16) (= tmp763 2)) (=> (and ?v_17 ?v_18) (= tmp762 0)) (=> (and ?v_17 ?v_20) ?v_19) (=> (and x664 ?v_18) ?v_19) (=> (and x664 ?v_20) (= tmp762 2)) (=> (and ?v_21 ?v_22) (= tmp761 0)) (=> (and ?v_21 ?v_24) ?v_23) (=> (and x143 ?v_22) ?v_23) (=> (and x143 ?v_24) (= tmp761 2)) (=> (and ?v_25 ?v_26) (= tmp760 0)) (=> (and ?v_25 ?v_28) ?v_27) (=> (and x759 ?v_26) ?v_27) (=> (and x759 ?v_28) (= tmp760 2)) (=> (and ?v_29 ?v_30) (= tmp759 0)) (=> (and ?v_29 ?v_32) ?v_31) (=> (and x48 ?v_30) ?v_31) (=> (and x48 ?v_32) (= tmp759 2)) (=> (and ?v_33 ?v_34) (= tmp758 0)) (=> (and ?v_33 ?v_36) ?v_35) (=> (and x473 ?v_34) ?v_35) (=> (and x473 ?v_36) (= tmp758 2)) (=> (and ?v_37 ?v_38) (= tmp757 0)) (=> (and ?v_37 ?v_40) ?v_39) (=> (and x330 ?v_38) ?v_39) (=> (and x330 ?v_40) (= tmp757 2)) (=> (and ?v_41 ?v_42) (= tmp756 0)) (=> (and ?v_41 ?v_44) ?v_43) (=> (and x568 ?v_42) ?v_43) (=> (and x568 ?v_44) (= tmp756 2)) (=> (and ?v_45 ?v_46) (= tmp755 0)) (=> (and ?v_45 ?v_48) ?v_47) (=> (and x238 ?v_46) ?v_47) (=> (and x238 ?v_48) (= tmp755 2)) (=> (and ?v_49 ?v_50) (= tmp754 0)) (=> (and ?v_49 ?v_52) ?v_51) (=> (and x663 ?v_50) ?v_51) (=> (and x663 ?v_52) (= tmp754 2)) (=> (and ?v_53 ?v_54) (= tmp753 0)) (=> (and ?v_53 ?v_56) ?v_55) (=> (and x142 ?v_54) ?v_55) (=> (and x142 ?v_56) (= tmp753 2)) (=> (and ?v_57 ?v_58) (= tmp752 0)) (=> (and ?v_57 ?v_60) ?v_59) (=> (and x758 ?v_58) ?v_59) (=> (and x758 ?v_60) (= tmp752 2)) (=> (and ?v_61 ?v_62) (= tmp751 0)) (=> (and ?v_61 ?v_64) ?v_63) (=> (and x47 ?v_62) ?v_63) (=> (and x47 ?v_64) (= tmp751 2)) (=> (and ?v_65 ?v_66) (= tmp750 0)) (=> (and ?v_65 ?v_68) ?v_67) (=> (and x472 ?v_66) ?v_67) (=> (and x472 ?v_68) (= tmp750 2)) (=> (and ?v_69 ?v_70) (= tmp749 0)) (=> (and ?v_69 ?v_72) ?v_71) (=> (and x329 ?v_70) ?v_71) (=> (and x329 ?v_72) (= tmp749 2)) (=> (and ?v_73 ?v_74) (= tmp748 0)) (=> (and ?v_73 ?v_76) ?v_75) (=> (and x567 ?v_74) ?v_75) (=> (and x567 ?v_76) (= tmp748 2)) (=> (and ?v_77 ?v_78) (= tmp747 0)) (=> (and ?v_77 ?v_80) ?v_79) (=> (and x237 ?v_78) ?v_79) (=> (and x237 ?v_80) (= tmp747 2)) (=> (and ?v_81 ?v_82) (= tmp746 0)) (=> (and ?v_81 ?v_84) ?v_83) (=> (and x662 ?v_82) ?v_83) (=> (and x662 ?v_84) (= tmp746 2)) (=> (and ?v_85 ?v_86) (= tmp745 0)) (=> (and ?v_85 ?v_88) ?v_87) (=> (and x141 ?v_86) ?v_87) (=> (and x141 ?v_88) (= tmp745 2)) (=> (and ?v_89 ?v_90) (= tmp744 0)) (=> (and ?v_89 ?v_92) ?v_91) (=> (and x757 ?v_90) ?v_91) (=> (and x757 ?v_92) (= tmp744 2)) (=> (and ?v_93 ?v_94) (= tmp743 0)) (=> (and ?v_93 ?v_96) ?v_95) (=> (and x46 ?v_94) ?v_95) (=> (and x46 ?v_96) (= tmp743 2)) (=> (and ?v_97 ?v_98) (= tmp742 0)) (=> (and ?v_97 ?v_100) ?v_99) (=> (and x471 ?v_98) ?v_99) (=> (and x471 ?v_100) (= tmp742 2)) (=> (and ?v_101 ?v_102) (= tmp741 0)) (=> (and ?v_101 ?v_104) ?v_103) (=> (and x328 ?v_102) ?v_103) (=> (and x328 ?v_104) (= tmp741 2)) (=> (and ?v_105 ?v_106) (= tmp740 0)) (=> (and ?v_105 ?v_108) ?v_107) (=> (and x566 ?v_106) ?v_107) (=> (and x566 ?v_108) (= tmp740 2)) (=> (and ?v_109 ?v_110) (= tmp739 0)) (=> (and ?v_109 ?v_112) ?v_111) (=> (and x236 ?v_110) ?v_111) (=> (and x236 ?v_112) (= tmp739 2)) (=> (and ?v_113 ?v_114) (= tmp738 0)) (=> (and ?v_113 ?v_116) ?v_115) (=> (and x661 ?v_114) ?v_115) (=> (and x661 ?v_116) (= tmp738 2)) (=> (and ?v_117 ?v_118) (= tmp737 0)) (=> (and ?v_117 ?v_120) ?v_119) (=> (and x140 ?v_118) ?v_119) (=> (and x140 ?v_120) (= tmp737 2)) (=> (and ?v_121 ?v_122) (= tmp736 0)) (=> (and ?v_121 ?v_124) ?v_123) (=> (and x756 ?v_122) ?v_123) (=> (and x756 ?v_124) (= tmp736 2)) (=> (and ?v_125 ?v_126) (= tmp735 0)) (=> (and ?v_125 ?v_128) ?v_127) (=> (and x45 ?v_126) ?v_127) (=> (and x45 ?v_128) (= tmp735 2)) (=> (and ?v_129 ?v_130) (= tmp734 0)) (=> (and ?v_129 ?v_132) ?v_131) (=> (and x470 ?v_130) ?v_131) (=> (and x470 ?v_132) (= tmp734 2)) (=> (and ?v_133 ?v_134) (= tmp733 0)) (=> (and ?v_133 ?v_136) ?v_135) (=> (and x327 ?v_134) ?v_135) (=> (and x327 ?v_136) (= tmp733 2)) (=> (and ?v_137 ?v_138) (= tmp732 0)) (=> (and ?v_137 ?v_140) ?v_139) (=> (and x565 ?v_138) ?v_139) (=> (and x565 ?v_140) (= tmp732 2)) (=> (and ?v_141 ?v_142) (= tmp731 0)) (=> (and ?v_141 ?v_144) ?v_143) (=> (and x235 ?v_142) ?v_143) (=> (and x235 ?v_144) (= tmp731 2)) (=> (and ?v_145 ?v_146) (= tmp730 0)) (=> (and ?v_145 ?v_148) ?v_147) (=> (and x660 ?v_146) ?v_147) (=> (and x660 ?v_148) (= tmp730 2)) (=> (and ?v_149 ?v_150) (= tmp729 0)) (=> (and ?v_149 ?v_152) ?v_151) (=> (and x139 ?v_150) ?v_151) (=> (and x139 ?v_152) (= tmp729 2)) (=> (and ?v_153 ?v_154) (= tmp728 0)) (=> (and ?v_153 ?v_156) ?v_155) (=> (and x755 ?v_154) ?v_155) (=> (and x755 ?v_156) (= tmp728 2)) (=> (and ?v_157 ?v_158) (= tmp727 0)) (=> (and ?v_157 ?v_160) ?v_159) (=> (and x44 ?v_158) ?v_159) (=> (and x44 ?v_160) (= tmp727 2)) (=> (and ?v_161 ?v_162) (= tmp726 0)) (=> (and ?v_161 ?v_164) ?v_163) (=> (and x469 ?v_162) ?v_163) (=> (and x469 ?v_164) (= tmp726 2)) (=> (and ?v_165 ?v_166) (= tmp725 0)) (=> (and ?v_165 ?v_168) ?v_167) (=> (and x326 ?v_166) ?v_167) (=> (and x326 ?v_168) (= tmp725 2)) (=> (and ?v_169 ?v_170) (= tmp724 0)) (=> (and ?v_169 ?v_172) ?v_171) (=> (and x564 ?v_170) ?v_171) (=> (and x564 ?v_172) (= tmp724 2)) (=> (and ?v_173 ?v_174) (= tmp723 0)) (=> (and ?v_173 ?v_176) ?v_175) (=> (and x234 ?v_174) ?v_175) (=> (and x234 ?v_176) (= tmp723 2)) (=> (and ?v_177 ?v_178) (= tmp722 0)) (=> (and ?v_177 ?v_180) ?v_179) (=> (and x659 ?v_178) ?v_179) (=> (and x659 ?v_180) (= tmp722 2)) (=> (and ?v_181 ?v_182) (= tmp721 0)) (=> (and ?v_181 ?v_184) ?v_183) (=> (and x138 ?v_182) ?v_183) (=> (and x138 ?v_184) (= tmp721 2)) (=> (and ?v_185 ?v_186) (= tmp720 0)) (=> (and ?v_185 ?v_188) ?v_187) (=> (and x754 ?v_186) ?v_187) (=> (and x754 ?v_188) (= tmp720 2)) (=> (and ?v_189 ?v_190) (= tmp719 0)) (=> (and ?v_189 ?v_192) ?v_191) (=> (and x43 ?v_190) ?v_191) (=> (and x43 ?v_192) (= tmp719 2)) (=> (and ?v_193 ?v_194) (= tmp718 0)) (=> (and ?v_193 ?v_196) ?v_195) (=> (and x468 ?v_194) ?v_195) (=> (and x468 ?v_196) (= tmp718 2)) (=> (and ?v_197 ?v_198) (= tmp717 0)) (=> (and ?v_197 ?v_200) ?v_199) (=> (and x325 ?v_198) ?v_199) (=> (and x325 ?v_200) (= tmp717 2)) (=> (and ?v_201 ?v_202) (= tmp716 0)) (=> (and ?v_201 ?v_204) ?v_203) (=> (and x563 ?v_202) ?v_203) (=> (and x563 ?v_204) (= tmp716 2)) (=> (and ?v_205 ?v_206) (= tmp715 0)) (=> (and ?v_205 ?v_208) ?v_207) (=> (and x233 ?v_206) ?v_207) (=> (and x233 ?v_208) (= tmp715 2)) (=> (and ?v_209 ?v_210) (= tmp714 0)) (=> (and ?v_209 ?v_212) ?v_211) (=> (and x658 ?v_210) ?v_211) (=> (and x658 ?v_212) (= tmp714 2)) (=> (and ?v_213 ?v_214) (= tmp713 0)) (=> (and ?v_213 ?v_216) ?v_215) (=> (and x137 ?v_214) ?v_215) (=> (and x137 ?v_216) (= tmp713 2)) (=> (and ?v_217 ?v_218) (= tmp712 0)) (=> (and ?v_217 ?v_220) ?v_219) (=> (and x753 ?v_218) ?v_219) (=> (and x753 ?v_220) (= tmp712 2)) (=> (and ?v_221 ?v_222) (= tmp711 0)) (=> (and ?v_221 ?v_224) ?v_223) (=> (and x42 ?v_222) ?v_223) (=> (and x42 ?v_224) (= tmp711 2)) (=> (and ?v_225 ?v_226) (= tmp710 0)) (=> (and ?v_225 ?v_228) ?v_227) (=> (and x467 ?v_226) ?v_227) (=> (and x467 ?v_228) (= tmp710 2)) (=> (and ?v_229 ?v_230) (= tmp709 0)) (=> (and ?v_229 ?v_232) ?v_231) (=> (and x324 ?v_230) ?v_231) (=> (and x324 ?v_232) (= tmp709 2)) (=> (and ?v_233 ?v_234) (= tmp708 0)) (=> (and ?v_233 ?v_236) ?v_235) (=> (and x562 ?v_234) ?v_235) (=> (and x562 ?v_236) (= tmp708 2)) (=> (and ?v_237 ?v_238) (= tmp707 0)) (=> (and ?v_237 ?v_240) ?v_239) (=> (and x232 ?v_238) ?v_239) (=> (and x232 ?v_240) (= tmp707 2)) (=> (and ?v_241 ?v_242) (= tmp706 0)) (=> (and ?v_241 ?v_244) ?v_243) (=> (and x704 ?v_242) ?v_243) (=> (and x704 ?v_244) (= tmp706 2)) (=> (and ?v_245 ?v_246) (= tmp705 0)) (=> (and ?v_245 ?v_248) ?v_247) (=> (and x136 ?v_246) ?v_247) (=> (and x136 ?v_248) (= tmp705 2)) (=> (and ?v_249 ?v_250) (= tmp704 0)) (=> (and ?v_249 ?v_252) ?v_251) (=> (and x769 ?v_250) ?v_251) (=> (and x769 ?v_252) (= tmp704 2)) (=> (and ?v_253 ?v_254) (= tmp703 0)) (=> (and ?v_253 ?v_256) ?v_255) (=> (and x41 ?v_254) ?v_255) (=> (and x41 ?v_256) (= tmp703 2)) (=> (and ?v_257 ?v_258) (= tmp702 0)) (=> (and ?v_257 ?v_260) ?v_259) (=> (and x466 ?v_258) ?v_259) (=> (and x466 ?v_260) (= tmp702 2)) (=> (and ?v_261 ?v_262) (= tmp701 0)) (=> (and ?v_261 ?v_264) ?v_263) (=> (and x323 ?v_262) ?v_263) (=> (and x323 ?v_264) (= tmp701 2)) (=> (and ?v_265 ?v_266) (= tmp700 0)) (=> (and ?v_265 ?v_268) ?v_267) (=> (and x561 ?v_266) ?v_267) (=> (and x561 ?v_268) (= tmp700 2)) (=> (and ?v_269 ?v_270) (= tmp699 0)) (=> (and ?v_269 ?v_272) ?v_271) (=> (and x231 ?v_270) ?v_271) (=> (and x231 ?v_272) (= tmp699 2)) (=> (and ?v_273 ?v_274) (= tmp698 0)) (=> (and ?v_273 ?v_276) ?v_275) (=> (and x656 ?v_274) ?v_275) (=> (and x656 ?v_276) (= tmp698 2)) (=> (and ?v_277 ?v_278) (= tmp697 0)) (=> (and ?v_277 ?v_280) ?v_279) (=> (and x135 ?v_278) ?v_279) (=> (and x135 ?v_280) (= tmp697 2)) (=> (and ?v_281 ?v_282) (= tmp696 0)) (=> (and ?v_281 ?v_284) ?v_283) (=> (and x751 ?v_282) ?v_283) (=> (and x751 ?v_284) (= tmp696 2)) (=> (and ?v_285 ?v_286) (= tmp695 0)) (=> (and ?v_285 ?v_288) ?v_287) (=> (and x40 ?v_286) ?v_287) (=> (and x40 ?v_288) (= tmp695 2)) (=> (and ?v_289 ?v_290) (= tmp694 0)) (=> (and ?v_289 ?v_292) ?v_291) (=> (and x465 ?v_290) ?v_291) (=> (and x465 ?v_292) (= tmp694 2)) (=> (and ?v_293 ?v_294) (= tmp693 0)) (=> (and ?v_293 ?v_296) ?v_295) (=> (and x322 ?v_294) ?v_295) (=> (and x322 ?v_296) (= tmp693 2)) (=> (and ?v_297 ?v_298) (= tmp692 0)) (=> (and ?v_297 ?v_300) ?v_299) (=> (and x560 ?v_298) ?v_299) (=> (and x560 ?v_300) (= tmp692 2)) (=> (and ?v_301 ?v_302) (= tmp691 0)) (=> (and ?v_301 ?v_304) ?v_303) (=> (and x230 ?v_302) ?v_303) (=> (and x230 ?v_304) (= tmp691 2)) (=> (and ?v_305 ?v_306) (= tmp690 0)) (=> (and ?v_305 ?v_308) ?v_307) (=> (and x655 ?v_306) ?v_307) (=> (and x655 ?v_308) (= tmp690 2)) (=> (and ?v_309 ?v_310) (= tmp689 0)) (=> (and ?v_309 ?v_312) ?v_311) (=> (and x134 ?v_310) ?v_311) (=> (and x134 ?v_312) (= tmp689 2)) (=> (and ?v_313 ?v_314) (= tmp688 0)) (=> (and ?v_313 ?v_316) ?v_315) (=> (and x750 ?v_314) ?v_315) (=> (and x750 ?v_316) (= tmp688 2)) (=> (and ?v_317 ?v_318) (= tmp687 0)) (=> (and ?v_317 ?v_320) ?v_319) (=> (and x39 ?v_318) ?v_319) (=> (and x39 ?v_320) (= tmp687 2)) (=> (and ?v_321 ?v_322) (= tmp686 0)) (=> (and ?v_321 ?v_324) ?v_323) (=> (and x464 ?v_322) ?v_323) (=> (and x464 ?v_324) (= tmp686 2)) (=> (and ?v_325 ?v_326) (= tmp685 0)) (=> (and ?v_325 ?v_328) ?v_327) (=> (and x321 ?v_326) ?v_327) (=> (and x321 ?v_328) (= tmp685 2)) (=> (and ?v_329 ?v_330) (= tmp684 0)) (=> (and ?v_329 ?v_332) ?v_331) (=> (and x559 ?v_330) ?v_331) (=> (and x559 ?v_332) (= tmp684 2)) (=> (and ?v_333 ?v_334) (= tmp683 0)) (=> (and ?v_333 ?v_336) ?v_335) (=> (and x229 ?v_334) ?v_335) (=> (and x229 ?v_336) (= tmp683 2)) (=> (and ?v_337 ?v_338) (= tmp682 0)) (=> (and ?v_337 ?v_340) ?v_339) (=> (and x654 ?v_338) ?v_339) (=> (and x654 ?v_340) (= tmp682 2)) (=> (and ?v_341 ?v_342) (= tmp681 0)) (=> (and ?v_341 ?v_344) ?v_343) (=> (and x133 ?v_342) ?v_343) (=> (and x133 ?v_344) (= tmp681 2)) (=> (and ?v_345 ?v_346) (= tmp680 0)) (=> (and ?v_345 ?v_348) ?v_347) (=> (and x749 ?v_346) ?v_347) (=> (and x749 ?v_348) (= tmp680 2)) (=> (and ?v_349 ?v_350) (= tmp679 0)) (=> (and ?v_349 ?v_352) ?v_351) (=> (and x38 ?v_350) ?v_351) (=> (and x38 ?v_352) (= tmp679 2)) (=> (and ?v_353 ?v_354) (= tmp678 0)) (=> (and ?v_353 ?v_356) ?v_355) (=> (and x463 ?v_354) ?v_355) (=> (and x463 ?v_356) (= tmp678 2)) (=> (and ?v_357 ?v_358) (= tmp677 0)) (=> (and ?v_357 ?v_360) ?v_359) (=> (and x320 ?v_358) ?v_359) (=> (and x320 ?v_360) (= tmp677 2)) (=> (and ?v_361 ?v_362) (= tmp676 0)) (=> (and ?v_361 ?v_364) ?v_363) (=> (and x558 ?v_362) ?v_363) (=> (and x558 ?v_364) (= tmp676 2)) (=> (and ?v_365 ?v_366) (= tmp675 0)) (=> (and ?v_365 ?v_368) ?v_367) (=> (and x228 ?v_366) ?v_367) (=> (and x228 ?v_368) (= tmp675 2)) (=> (and ?v_369 ?v_370) (= tmp674 0)) (=> (and ?v_369 ?v_372) ?v_371) (=> (and x653 ?v_370) ?v_371) (=> (and x653 ?v_372) (= tmp674 2)) (=> (and ?v_373 ?v_374) (= tmp673 0)) (=> (and ?v_373 ?v_376) ?v_375) (=> (and x132 ?v_374) ?v_375) (=> (and x132 ?v_376) (= tmp673 2)) (=> (and ?v_377 ?v_378) (= tmp672 0)) (=> (and ?v_377 ?v_380) ?v_379) (=> (and x748 ?v_378) ?v_379) (=> (and x748 ?v_380) (= tmp672 2)) (=> (and ?v_381 ?v_382) (= tmp671 0)) (=> (and ?v_381 ?v_384) ?v_383) (=> (and x37 ?v_382) ?v_383) (=> (and x37 ?v_384) (= tmp671 2)) (=> (and ?v_385 ?v_386) (= tmp670 0)) (=> (and ?v_385 ?v_388) ?v_387) (=> (and x462 ?v_386) ?v_387) (=> (and x462 ?v_388) (= tmp670 2)) (=> (and ?v_389 ?v_390) (= tmp669 0)) (=> (and ?v_389 ?v_392) ?v_391) (=> (and x319 ?v_390) ?v_391) (=> (and x319 ?v_392) (= tmp669 2)) (=> (and ?v_393 ?v_394) (= tmp668 0)) (=> (and ?v_393 ?v_396) ?v_395) (=> (and x557 ?v_394) ?v_395) (=> (and x557 ?v_396) (= tmp668 2)) (=> (and ?v_397 ?v_398) (= tmp667 0)) (=> (and ?v_397 ?v_400) ?v_399) (=> (and x227 ?v_398) ?v_399) (=> (and x227 ?v_400) (= tmp667 2)) (=> (and ?v_401 ?v_402) (= tmp666 0)) (=> (and ?v_401 ?v_404) ?v_403) (=> (and x652 ?v_402) ?v_403) (=> (and x652 ?v_404) (= tmp666 2)) (=> (and ?v_405 ?v_406) (= tmp665 0)) (=> (and ?v_405 ?v_408) ?v_407) (=> (and x131 ?v_406) ?v_407) (=> (and x131 ?v_408) (= tmp665 2)) (=> (and ?v_409 ?v_410) (= tmp664 0)) (=> (and ?v_409 ?v_412) ?v_411) (=> (and x747 ?v_410) ?v_411) (=> (and x747 ?v_412) (= tmp664 2)) (=> (and ?v_413 ?v_414) (= tmp663 0)) (=> (and ?v_413 ?v_416) ?v_415) (=> (and x36 ?v_414) ?v_415) (=> (and x36 ?v_416) (= tmp663 2)) (=> (and ?v_417 ?v_418) (= tmp662 0)) (=> (and ?v_417 ?v_420) ?v_419) (=> (and x461 ?v_418) ?v_419) (=> (and x461 ?v_420) (= tmp662 2)) (=> (and ?v_421 ?v_422) (= tmp661 0)) (=> (and ?v_421 ?v_424) ?v_423) (=> (and x318 ?v_422) ?v_423) (=> (and x318 ?v_424) (= tmp661 2)) (=> (and ?v_425 ?v_426) (= tmp660 0)) (=> (and ?v_425 ?v_428) ?v_427) (=> (and x556 ?v_426) ?v_427) (=> (and x556 ?v_428) (= tmp660 2)) (=> (and ?v_429 ?v_430) (= tmp659 0)) (=> (and ?v_429 ?v_432) ?v_431) (=> (and x226 ?v_430) ?v_431) (=> (and x226 ?v_432) (= tmp659 2)) (=> (and ?v_433 ?v_434) (= tmp658 0)) (=> (and ?v_433 ?v_436) ?v_435) (=> (and x651 ?v_434) ?v_435) (=> (and x651 ?v_436) (= tmp658 2)) (=> (and ?v_437 ?v_438) (= tmp657 0)) (=> (and ?v_437 ?v_440) ?v_439) (=> (and x130 ?v_438) ?v_439) (=> (and x130 ?v_440) (= tmp657 2)) (=> (and ?v_441 ?v_442) (= tmp656 0)) (=> (and ?v_441 ?v_444) ?v_443) (=> (and x746 ?v_442) ?v_443) (=> (and x746 ?v_444) (= tmp656 2)) (=> (and ?v_445 ?v_446) (= tmp655 0)) (=> (and ?v_445 ?v_448) ?v_447) (=> (and x35 ?v_446) ?v_447) (=> (and x35 ?v_448) (= tmp655 2)) (=> (and ?v_449 ?v_450) (= tmp654 0)) (=> (and ?v_449 ?v_452) ?v_451) (=> (and x460 ?v_450) ?v_451) (=> (and x460 ?v_452) (= tmp654 2)) (=> (and ?v_453 ?v_454) (= tmp653 0)) (=> (and ?v_453 ?v_456) ?v_455) (=> (and x317 ?v_454) ?v_455) (=> (and x317 ?v_456) (= tmp653 2)) (=> (and ?v_457 ?v_458) (= tmp652 0)) (=> (and ?v_457 ?v_460) ?v_459) (=> (and x555 ?v_458) ?v_459) (=> (and x555 ?v_460) (= tmp652 2)) (=> (and ?v_461 ?v_462) (= tmp651 0)) (=> (and ?v_461 ?v_464) ?v_463) (=> (and x225 ?v_462) ?v_463) (=> (and x225 ?v_464) (= tmp651 2)) (=> (and ?v_465 ?v_466) (= tmp650 0)) (=> (and ?v_465 ?v_468) ?v_467) (=> (and x650 ?v_466) ?v_467) (=> (and x650 ?v_468) (= tmp650 2)) (=> (and ?v_469 ?v_470) (= tmp649 0)) (=> (and ?v_469 ?v_472) ?v_471) (=> (and x129 ?v_470) ?v_471) (=> (and x129 ?v_472) (= tmp649 2)) (=> (and ?v_473 ?v_474) (= tmp648 0)) (=> (and ?v_473 ?v_476) ?v_475) (=> (and x745 ?v_474) ?v_475) (=> (and x745 ?v_476) (= tmp648 2)) (=> (and ?v_477 ?v_478) (= tmp647 0)) (=> (and ?v_477 ?v_480) ?v_479) (=> (and x34 ?v_478) ?v_479) (=> (and x34 ?v_480) (= tmp647 2)) (=> (and ?v_481 ?v_482) (= tmp646 0)) (=> (and ?v_481 ?v_484) ?v_483) (=> (and x459 ?v_482) ?v_483) (=> (and x459 ?v_484) (= tmp646 2)) (=> (and ?v_485 ?v_486) (= tmp645 0)) (=> (and ?v_485 ?v_488) ?v_487) (=> (and x316 ?v_486) ?v_487) (=> (and x316 ?v_488) (= tmp645 2)) (=> (and ?v_489 ?v_490) (= tmp644 0)) (=> (and ?v_489 ?v_492) ?v_491) (=> (and x554 ?v_490) ?v_491) (=> (and x554 ?v_492) (= tmp644 2)) (=> (and ?v_493 ?v_494) (= tmp643 0)) (=> (and ?v_493 ?v_496) ?v_495) (=> (and x224 ?v_494) ?v_495) (=> (and x224 ?v_496) (= tmp643 2)) (=> (and ?v_497 ?v_498) (= tmp642 0)) (=> (and ?v_497 ?v_500) ?v_499) (=> (and x649 ?v_498) ?v_499) (=> (and x649 ?v_500) (= tmp642 2)) (=> (and ?v_501 ?v_502) (= tmp641 0)) (=> (and ?v_501 ?v_504) ?v_503) (=> (and x128 ?v_502) ?v_503) (=> (and x128 ?v_504) (= tmp641 2)) (=> (and ?v_505 ?v_506) (= tmp640 0)) (=> (and ?v_505 ?v_508) ?v_507) (=> (and x744 ?v_506) ?v_507) (=> (and x744 ?v_508) (= tmp640 2)) (=> (and ?v_509 ?v_510) (= tmp639 0)) (=> (and ?v_509 ?v_512) ?v_511) (=> (and x33 ?v_510) ?v_511) (=> (and x33 ?v_512) (= tmp639 2)) (=> (and ?v_513 ?v_514) (= tmp638 0)) (=> (and ?v_513 ?v_516) ?v_515) (=> (and x458 ?v_514) ?v_515) (=> (and x458 ?v_516) (= tmp638 2)) (=> (and ?v_517 ?v_518) (= tmp637 0)) (=> (and ?v_517 ?v_520) ?v_519) (=> (and x315 ?v_518) ?v_519) (=> (and x315 ?v_520) (= tmp637 2)) (=> (and ?v_521 ?v_522) (= tmp636 0)) (=> (and ?v_521 ?v_524) ?v_523) (=> (and x553 ?v_522) ?v_523) (=> (and x553 ?v_524) (= tmp636 2)) (=> (and ?v_525 ?v_526) (= tmp635 0)) (=> (and ?v_525 ?v_528) ?v_527) (=> (and x223 ?v_526) ?v_527) (=> (and x223 ?v_528) (= tmp635 2)) (=> (and ?v_529 ?v_530) (= tmp634 0)) (=> (and ?v_529 ?v_532) ?v_531) (=> (and x648 ?v_530) ?v_531) (=> (and x648 ?v_532) (= tmp634 2)) (=> (and ?v_533 ?v_534) (= tmp633 0)) (=> (and ?v_533 ?v_536) ?v_535) (=> (and x127 ?v_534) ?v_535) (=> (and x127 ?v_536) (= tmp633 2)) (=> (and ?v_537 ?v_538) (= tmp632 0)) (=> (and ?v_537 ?v_540) ?v_539) (=> (and x743 ?v_538) ?v_539) (=> (and x743 ?v_540) (= tmp632 2)) (=> (and ?v_541 ?v_542) (= tmp631 0)) (=> (and ?v_541 ?v_544) ?v_543) (=> (and x32 ?v_542) ?v_543) (=> (and x32 ?v_544) (= tmp631 2)) (=> (and ?v_545 ?v_546) (= tmp630 0)) (=> (and ?v_545 ?v_548) ?v_547) (=> (and x457 ?v_546) ?v_547) (=> (and x457 ?v_548) (= tmp630 2)) (=> (and ?v_549 ?v_550) (= tmp629 0)) (=> (and ?v_549 ?v_552) ?v_551) (=> (and x314 ?v_550) ?v_551) (=> (and x314 ?v_552) (= tmp629 2)) (=> (and ?v_553 ?v_554) (= tmp628 0)) (=> (and ?v_553 ?v_556) ?v_555) (=> (and x552 ?v_554) ?v_555) (=> (and x552 ?v_556) (= tmp628 2)) (=> (and ?v_557 ?v_558) (= tmp627 0)) (=> (and ?v_557 ?v_560) ?v_559) (=> (and x222 ?v_558) ?v_559) (=> (and x222 ?v_560) (= tmp627 2)) (=> (and ?v_561 ?v_562) (= tmp626 0)) (=> (and ?v_561 ?v_564) ?v_563) (=> (and x647 ?v_562) ?v_563) (=> (and x647 ?v_564) (= tmp626 2)) (=> (and ?v_565 ?v_566) (= tmp625 0)) (=> (and ?v_565 ?v_568) ?v_567) (=> (and x126 ?v_566) ?v_567) (=> (and x126 ?v_568) (= tmp625 2)) (=> (and ?v_569 ?v_570) (= tmp624 0)) (=> (and ?v_569 ?v_572) ?v_571) (=> (and x742 ?v_570) ?v_571) (=> (and x742 ?v_572) (= tmp624 2)) (=> (and ?v_573 ?v_574) (= tmp623 0)) (=> (and ?v_573 ?v_576) ?v_575) (=> (and x31 ?v_574) ?v_575) (=> (and x31 ?v_576) (= tmp623 2)) (=> (and ?v_577 ?v_578) (= tmp622 0)) (=> (and ?v_577 ?v_580) ?v_579) (=> (and x456 ?v_578) ?v_579) (=> (and x456 ?v_580) (= tmp622 2)) (=> (and ?v_581 ?v_582) (= tmp621 0)) (=> (and ?v_581 ?v_584) ?v_583) (=> (and x313 ?v_582) ?v_583) (=> (and x313 ?v_584) (= tmp621 2)) (=> (and ?v_585 ?v_586) (= tmp620 0)) (=> (and ?v_585 ?v_588) ?v_587) (=> (and x551 ?v_586) ?v_587) (=> (and x551 ?v_588) (= tmp620 2)) (=> (and ?v_589 ?v_590) (= tmp619 0)) (=> (and ?v_589 ?v_592) ?v_591) (=> (and x221 ?v_590) ?v_591) (=> (and x221 ?v_592) (= tmp619 2)) (=> (and ?v_593 ?v_594) (= tmp618 0)) (=> (and ?v_593 ?v_596) ?v_595) (=> (and x646 ?v_594) ?v_595) (=> (and x646 ?v_596) (= tmp618 2)) (=> (and ?v_597 ?v_598) (= tmp617 0)) (=> (and ?v_597 ?v_600) ?v_599) (=> (and x125 ?v_598) ?v_599) (=> (and x125 ?v_600) (= tmp617 2)) (=> (and ?v_601 ?v_602) (= tmp616 0)) (=> (and ?v_601 ?v_604) ?v_603) (=> (and x741 ?v_602) ?v_603) (=> (and x741 ?v_604) (= tmp616 2)) (=> (and ?v_605 ?v_606) (= tmp615 0)) (=> (and ?v_605 ?v_608) ?v_607) (=> (and x30 ?v_606) ?v_607) (=> (and x30 ?v_608) (= tmp615 2)) (=> (and ?v_609 ?v_610) (= tmp614 0)) (=> (and ?v_609 ?v_612) ?v_611) (=> (and x455 ?v_610) ?v_611) (=> (and x455 ?v_612) (= tmp614 2)) (=> (and ?v_613 ?v_614) (= tmp613 0)) (=> (and ?v_613 ?v_616) ?v_615) (=> (and x312 ?v_614) ?v_615) (=> (and x312 ?v_616) (= tmp613 2)) (=> (and ?v_617 ?v_618) (= tmp612 0)) (=> (and ?v_617 ?v_620) ?v_619) (=> (and x550 ?v_618) ?v_619) (=> (and x550 ?v_620) (= tmp612 2)) (=> (and ?v_621 ?v_622) (= tmp611 0)) (=> (and ?v_621 ?v_624) ?v_623) (=> (and x220 ?v_622) ?v_623) (=> (and x220 ?v_624) (= tmp611 2)) (=> (and ?v_625 ?v_626) (= tmp610 0)) (=> (and ?v_625 ?v_628) ?v_627) (=> (and x645 ?v_626) ?v_627) (=> (and x645 ?v_628) (= tmp610 2)) (=> (and ?v_629 ?v_630) (= tmp609 0)) (=> (and ?v_629 ?v_632) ?v_631) (=> (and x124 ?v_630) ?v_631) (=> (and x124 ?v_632) (= tmp609 2)) (=> (and ?v_633 ?v_634) (= tmp608 0)) (=> (and ?v_633 ?v_636) ?v_635) (=> (and x740 ?v_634) ?v_635) (=> (and x740 ?v_636) (= tmp608 2)) (=> (and ?v_637 ?v_638) (= tmp607 0)) (=> (and ?v_637 ?v_640) ?v_639) (=> (and x29 ?v_638) ?v_639) (=> (and x29 ?v_640) (= tmp607 2)) (=> (and ?v_641 ?v_642) (= tmp606 0)) (=> (and ?v_641 ?v_644) ?v_643) (=> (and x501 ?v_642) ?v_643) (=> (and x501 ?v_644) (= tmp606 2)) (=> (and ?v_645 ?v_646) (= tmp605 0)) (=> (and ?v_645 ?v_648) ?v_647) (=> (and x311 ?v_646) ?v_647) (=> (and x311 ?v_648) (= tmp605 2)) (=> (and ?v_649 ?v_650) (= tmp604 0)) (=> (and ?v_649 ?v_652) ?v_651) (=> (and x597 ?v_650) ?v_651) (=> (and x597 ?v_652) (= tmp604 2)) (=> (and ?v_653 ?v_654) (= tmp603 0)) (=> (and ?v_653 ?v_656) ?v_655) (=> (and x219 ?v_654) ?v_655) (=> (and x219 ?v_656) (= tmp603 2)) (=> (and ?v_657 ?v_658) (= tmp602 0)) (=> (and ?v_657 ?v_660) ?v_659) (=> (and x691 ?v_658) ?v_659) (=> (and x691 ?v_660) (= tmp602 2)) (=> (and ?v_661 ?v_662) (= tmp601 0)) (=> (and ?v_661 ?v_664) ?v_663) (=> (and x123 ?v_662) ?v_663) (=> (and x123 ?v_664) (= tmp601 2)) (=> (and ?v_665 ?v_666) (= tmp600 0)) (=> (and ?v_665 ?v_668) ?v_667) (=> (and x768 ?v_666) ?v_667) (=> (and x768 ?v_668) (= tmp600 2)) (=> (and ?v_669 ?v_670) (= tmp599 0)) (=> (and ?v_669 ?v_672) ?v_671) (=> (and x28 ?v_670) ?v_671) (=> (and x28 ?v_672) (= tmp599 2)) (=> (and ?v_673 ?v_674) (= tmp598 0)) (=> (and ?v_673 ?v_676) ?v_675) (=> (and x454 ?v_674) ?v_675) (=> (and x454 ?v_676) (= tmp598 2)) (=> (and ?v_677 ?v_678) (= tmp597 0)) (=> (and ?v_677 ?v_680) ?v_679) (=> (and x310 ?v_678) ?v_679) (=> (and x310 ?v_680) (= tmp597 2)) (=> (and ?v_681 ?v_682) (= tmp596 0)) (=> (and ?v_681 ?v_684) ?v_683) (=> (and x548 ?v_682) ?v_683) (=> (and x548 ?v_684) (= tmp596 2)) (=> (and ?v_685 ?v_686) (= tmp595 0)) (=> (and ?v_685 ?v_688) ?v_687) (=> (and x218 ?v_686) ?v_687) (=> (and x218 ?v_688) (= tmp595 2)) (=> (and ?v_689 ?v_690) (= tmp594 0)) (=> (and ?v_689 ?v_692) ?v_691) (=> (and x643 ?v_690) ?v_691) (=> (and x643 ?v_692) (= tmp594 2)) (=> (and ?v_693 ?v_694) (= tmp593 0)) (=> (and ?v_693 ?v_696) ?v_695) (=> (and x122 ?v_694) ?v_695) (=> (and x122 ?v_696) (= tmp593 2)) (=> (and ?v_697 ?v_698) (= tmp592 0)) (=> (and ?v_697 ?v_700) ?v_699) (=> (and x738 ?v_698) ?v_699) (=> (and x738 ?v_700) (= tmp592 2)) (=> (and ?v_701 ?v_702) (= tmp591 0)) (=> (and ?v_701 ?v_704) ?v_703) (=> (and x27 ?v_702) ?v_703) (=> (and x27 ?v_704) (= tmp591 2)) (=> (and ?v_705 ?v_706) (= tmp590 0)) (=> (and ?v_705 ?v_708) ?v_707) (=> (and x453 ?v_706) ?v_707) (=> (and x453 ?v_708) (= tmp590 2)) (=> (and ?v_709 ?v_710) (= tmp589 0)) (=> (and ?v_709 ?v_712) ?v_711) (=> (and x309 ?v_710) ?v_711) (=> (and x309 ?v_712) (= tmp589 2)) (=> (and ?v_713 ?v_714) (= tmp588 0)) (=> (and ?v_713 ?v_716) ?v_715) (=> (and x547 ?v_714) ?v_715) (=> (and x547 ?v_716) (= tmp588 2)) (=> (and ?v_717 ?v_718) (= tmp587 0)) (=> (and ?v_717 ?v_720) ?v_719) (=> (and x217 ?v_718) ?v_719) (=> (and x217 ?v_720) (= tmp587 2)) (=> (and ?v_721 ?v_722) (= tmp586 0)) (=> (and ?v_721 ?v_724) ?v_723) (=> (and x642 ?v_722) ?v_723) (=> (and x642 ?v_724) (= tmp586 2)) (=> (and ?v_725 ?v_726) (= tmp585 0)) (=> (and ?v_725 ?v_728) ?v_727) (=> (and x121 ?v_726) ?v_727) (=> (and x121 ?v_728) (= tmp585 2)) (=> (and ?v_729 ?v_730) (= tmp584 0)) (=> (and ?v_729 ?v_732) ?v_731) (=> (and x737 ?v_730) ?v_731) (=> (and x737 ?v_732) (= tmp584 2)) (=> (and ?v_733 ?v_734) (= tmp583 0)) (=> (and ?v_733 ?v_736) ?v_735) (=> (and x26 ?v_734) ?v_735) (=> (and x26 ?v_736) (= tmp583 2)) (=> (and ?v_737 ?v_738) (= tmp582 0)) (=> (and ?v_737 ?v_740) ?v_739) (=> (and x452 ?v_738) ?v_739) (=> (and x452 ?v_740) (= tmp582 2)) (=> (and ?v_741 ?v_742) (= tmp581 0)) (=> (and ?v_741 ?v_744) ?v_743) (=> (and x308 ?v_742) ?v_743) (=> (and x308 ?v_744) (= tmp581 2)) (=> (and ?v_745 ?v_746) (= tmp580 0)) (=> (and ?v_745 ?v_748) ?v_747) (=> (and x546 ?v_746) ?v_747) (=> (and x546 ?v_748) (= tmp580 2)) (=> (and ?v_749 ?v_750) (= tmp579 0)) (=> (and ?v_749 ?v_752) ?v_751) (=> (and x216 ?v_750) ?v_751) (=> (and x216 ?v_752) (= tmp579 2)) (=> (and ?v_753 ?v_754) (= tmp578 0)) (=> (and ?v_753 ?v_756) ?v_755) (=> (and x641 ?v_754) ?v_755) (=> (and x641 ?v_756) (= tmp578 2)) (=> (and ?v_757 ?v_758) (= tmp577 0)) (=> (and ?v_757 ?v_760) ?v_759) (=> (and x120 ?v_758) ?v_759) (=> (and x120 ?v_760) (= tmp577 2)) (=> (and ?v_761 ?v_762) (= tmp576 0)) (=> (and ?v_761 ?v_764) ?v_763) (=> (and x736 ?v_762) ?v_763) (=> (and x736 ?v_764) (= tmp576 2)) (=> (and ?v_765 ?v_766) (= tmp575 0)) (=> (and ?v_765 ?v_768) ?v_767) (=> (and x25 ?v_766) ?v_767) (=> (and x25 ?v_768) (= tmp575 2)) (=> (and ?v_769 ?v_770) (= tmp574 0)) (=> (and ?v_769 ?v_772) ?v_771) (=> (and x451 ?v_770) ?v_771) (=> (and x451 ?v_772) (= tmp574 2)) (=> (and ?v_773 ?v_774) (= tmp573 0)) (=> (and ?v_773 ?v_776) ?v_775) (=> (and x307 ?v_774) ?v_775) (=> (and x307 ?v_776) (= tmp573 2)) (=> (and ?v_777 ?v_778) (= tmp572 0)) (=> (and ?v_777 ?v_780) ?v_779) (=> (and x545 ?v_778) ?v_779) (=> (and x545 ?v_780) (= tmp572 2)) (=> (and ?v_781 ?v_782) (= tmp571 0)) (=> (and ?v_781 ?v_784) ?v_783) (=> (and x215 ?v_782) ?v_783) (=> (and x215 ?v_784) (= tmp571 2)) (=> (and ?v_785 ?v_786) (= tmp570 0)) (=> (and ?v_785 ?v_788) ?v_787) (=> (and x640 ?v_786) ?v_787) (=> (and x640 ?v_788) (= tmp570 2)) (=> (and ?v_789 ?v_790) (= tmp569 0)) (=> (and ?v_789 ?v_792) ?v_791) (=> (and x119 ?v_790) ?v_791) (=> (and x119 ?v_792) (= tmp569 2)) (=> (and ?v_793 ?v_794) (= tmp568 0)) (=> (and ?v_793 ?v_796) ?v_795) (=> (and x735 ?v_794) ?v_795) (=> (and x735 ?v_796) (= tmp568 2)) (=> (and ?v_797 ?v_798) (= tmp567 0)) (=> (and ?v_797 ?v_800) ?v_799) (=> (and x24 ?v_798) ?v_799) (=> (and x24 ?v_800) (= tmp567 2)) (=> (and ?v_801 ?v_802) (= tmp566 0)) (=> (and ?v_801 ?v_804) ?v_803) (=> (and x496 ?v_802) ?v_803) (=> (and x496 ?v_804) (= tmp566 2)) (=> (and ?v_805 ?v_806) (= tmp565 0)) (=> (and ?v_805 ?v_808) ?v_807) (=> (and x354 ?v_806) ?v_807) (=> (and x354 ?v_808) (= tmp565 2)) (=> (and ?v_809 ?v_810) (= tmp564 0)) (=> (and ?v_809 ?v_812) ?v_811) (=> (and x592 ?v_810) ?v_811) (=> (and x592 ?v_812) (= tmp564 2)) (=> (and ?v_813 ?v_814) (= tmp563 0)) (=> (and ?v_813 ?v_816) ?v_815) (=> (and x258 ?v_814) ?v_815) (=> (and x258 ?v_816) (= tmp563 2)) (=> (and ?v_817 ?v_818) (= tmp562 0)) (=> (and ?v_817 ?v_820) ?v_819) (=> (and x734 ?v_818) ?v_819) (=> (and x734 ?v_820) (= tmp562 2)) (=> (and ?v_821 ?v_822) (= tmp561 0)) (=> (and ?v_821 ?v_824) ?v_823) (=> (and x166 ?v_822) ?v_823) (=> (and x166 ?v_824) (= tmp561 2)) (=> (and ?v_825 ?v_826) (= tmp560 0)) (=> (and ?v_825 ?v_828) ?v_827) (=> (and x767 ?v_826) ?v_827) (=> (and x767 ?v_828) (= tmp560 2)) (=> (and ?v_829 ?v_830) (= tmp559 0)) (=> (and ?v_829 ?v_832) ?v_831) (=> (and x23 ?v_830) ?v_831) (=> (and x23 ?v_832) (= tmp559 2)) (=> (and ?v_833 ?v_834) (= tmp558 0)) (=> (and ?v_833 ?v_836) ?v_835) (=> (and x449 ?v_834) ?v_835) (=> (and x449 ?v_836) (= tmp558 2)) (=> (and ?v_837 ?v_838) (= tmp557 0)) (=> (and ?v_837 ?v_840) ?v_839) (=> (and x305 ?v_838) ?v_839) (=> (and x305 ?v_840) (= tmp557 2)) (=> (and ?v_841 ?v_842) (= tmp556 0)) (=> (and ?v_841 ?v_844) ?v_843) (=> (and x543 ?v_842) ?v_843) (=> (and x543 ?v_844) (= tmp556 2)) (=> (and ?v_845 ?v_846) (= tmp555 0)) (=> (and ?v_845 ?v_848) ?v_847) (=> (and x213 ?v_846) ?v_847) (=> (and x213 ?v_848) (= tmp555 2)) (=> (and ?v_849 ?v_850) (= tmp554 0)) (=> (and ?v_849 ?v_852) ?v_851) (=> (and x638 ?v_850) ?v_851) (=> (and x638 ?v_852) (= tmp554 2)) (=> (and ?v_853 ?v_854) (= tmp553 0)) (=> (and ?v_853 ?v_856) ?v_855) (=> (and x118 ?v_854) ?v_855) (=> (and x118 ?v_856) (= tmp553 2)) (=> (and ?v_857 ?v_858) (= tmp552 0)) (=> (and ?v_857 ?v_860) ?v_859) (=> (and x733 ?v_858) ?v_859) (=> (and x733 ?v_860) (= tmp552 2)) (=> (and ?v_861 ?v_862) (= tmp551 0)) (=> (and ?v_861 ?v_864) ?v_863) (=> (and x22 ?v_862) ?v_863) (=> (and x22 ?v_864) (= tmp551 2)) (=> (and ?v_865 ?v_866) (= tmp550 0)) (=> (and ?v_865 ?v_868) ?v_867) (=> (and x448 ?v_866) ?v_867) (=> (and x448 ?v_868) (= tmp550 2)) (=> (and ?v_869 ?v_870) (= tmp549 0)) (=> (and ?v_869 ?v_872) ?v_871) (=> (and x304 ?v_870) ?v_871) (=> (and x304 ?v_872) (= tmp549 2)) (=> (and ?v_873 ?v_874) (= tmp548 0)) (=> (and ?v_873 ?v_876) ?v_875) (=> (and x542 ?v_874) ?v_875) (=> (and x542 ?v_876) (= tmp548 2)) (=> (and ?v_877 ?v_878) (= tmp547 0)) (=> (and ?v_877 ?v_880) ?v_879) (=> (and x212 ?v_878) ?v_879) (=> (and x212 ?v_880) (= tmp547 2)) (=> (and ?v_881 ?v_882) (= tmp546 0)) (=> (and ?v_881 ?v_884) ?v_883) (=> (and x637 ?v_882) ?v_883) (=> (and x637 ?v_884) (= tmp546 2)) (=> (and ?v_885 ?v_886) (= tmp545 0)) (=> (and ?v_885 ?v_888) ?v_887) (=> (and x117 ?v_886) ?v_887) (=> (and x117 ?v_888) (= tmp545 2)) (=> (and ?v_889 ?v_890) (= tmp544 0)) (=> (and ?v_889 ?v_892) ?v_891) (=> (and x732 ?v_890) ?v_891) (=> (and x732 ?v_892) (= tmp544 2)) (=> (and ?v_893 ?v_894) (= tmp543 0)) (=> (and ?v_893 ?v_896) ?v_895) (=> (and x21 ?v_894) ?v_895) (=> (and x21 ?v_896) (= tmp543 2)) (=> (and ?v_897 ?v_898) (= tmp542 0)) (=> (and ?v_897 ?v_900) ?v_899) (=> (and x447 ?v_898) ?v_899) (=> (and x447 ?v_900) (= tmp542 2)) (=> (and ?v_901 ?v_902) (= tmp541 0)) (=> (and ?v_901 ?v_904) ?v_903) (=> (and x303 ?v_902) ?v_903) (=> (and x303 ?v_904) (= tmp541 2)) (=> (and ?v_905 ?v_906) (= tmp540 0)) (=> (and ?v_905 ?v_908) ?v_907) (=> (and x541 ?v_906) ?v_907) (=> (and x541 ?v_908) (= tmp540 2)) (=> (and ?v_909 ?v_910) (= tmp539 0)) (=> (and ?v_909 ?v_912) ?v_911) (=> (and x211 ?v_910) ?v_911) (=> (and x211 ?v_912) (= tmp539 2)) (=> (and ?v_913 ?v_914) (= tmp538 0)) (=> (and ?v_913 ?v_916) ?v_915) (=> (and x636 ?v_914) ?v_915) (=> (and x636 ?v_916) (= tmp538 2)) (=> (and ?v_917 ?v_918) (= tmp537 0)) (=> (and ?v_917 ?v_920) ?v_919) (=> (and x116 ?v_918) ?v_919) (=> (and x116 ?v_920) (= tmp537 2)) (=> (and ?v_921 ?v_922) (= tmp536 0)) (=> (and ?v_921 ?v_924) ?v_923) (=> (and x731 ?v_922) ?v_923) (=> (and x731 ?v_924) (= tmp536 2)) (=> (and ?v_925 ?v_926) (= tmp535 0)) (=> (and ?v_925 ?v_928) ?v_927) (=> (and x20 ?v_926) ?v_927) (=> (and x20 ?v_928) (= tmp535 2)) (=> (and ?v_929 ?v_930) (= tmp534 0)) (=> (and ?v_929 ?v_932) ?v_931) (=> (and x446 ?v_930) ?v_931) (=> (and x446 ?v_932) (= tmp534 2)) (=> (and ?v_933 ?v_934) (= tmp533 0)) (=> (and ?v_933 ?v_936) ?v_935) (=> (and x302 ?v_934) ?v_935) (=> (and x302 ?v_936) (= tmp533 2)) (=> (and ?v_937 ?v_938) (= tmp532 0)) (=> (and ?v_937 ?v_940) ?v_939) (=> (and x540 ?v_938) ?v_939) (=> (and x540 ?v_940) (= tmp532 2)) (=> (and ?v_941 ?v_942) (= tmp531 0)) (=> (and ?v_941 ?v_944) ?v_943) (=> (and x210 ?v_942) ?v_943) (=> (and x210 ?v_944) (= tmp531 2)) (=> (and ?v_945 ?v_946) (= tmp530 0)) (=> (and ?v_945 ?v_948) ?v_947) (=> (and x635 ?v_946) ?v_947) (=> (and x635 ?v_948) (= tmp530 2)) (=> (and ?v_949 ?v_950) (= tmp529 0)) (=> (and ?v_949 ?v_952) ?v_951) (=> (and x115 ?v_950) ?v_951) (=> (and x115 ?v_952) (= tmp529 2)) (=> (and ?v_953 ?v_954) (= tmp528 0)) (=> (and ?v_953 ?v_956) ?v_955) (=> (and x730 ?v_954) ?v_955) (=> (and x730 ?v_956) (= tmp528 2)) (=> (and ?v_957 ?v_958) (= tmp527 0)) (=> (and ?v_957 ?v_960) ?v_959) (=> (and x19 ?v_958) ?v_959) (=> (and x19 ?v_960) (= tmp527 2)) (=> (and ?v_961 ?v_962) (= tmp526 0)) (=> (and ?v_961 ?v_964) ?v_963) (=> (and x445 ?v_962) ?v_963) (=> (and x445 ?v_964) (= tmp526 2)) (=> (and ?v_965 ?v_966) (= tmp525 0)) (=> (and ?v_965 ?v_968) ?v_967) (=> (and x301 ?v_966) ?v_967) (=> (and x301 ?v_968) (= tmp525 2)) (=> (and ?v_969 ?v_970) (= tmp524 0)) (=> (and ?v_969 ?v_972) ?v_971) (=> (and x539 ?v_970) ?v_971) (=> (and x539 ?v_972) (= tmp524 2)) (=> (and ?v_973 ?v_974) (= tmp523 0)) (=> (and ?v_973 ?v_976) ?v_975) (=> (and x209 ?v_974) ?v_975) (=> (and x209 ?v_976) (= tmp523 2)) (=> (and ?v_977 ?v_978) (= tmp522 0)) (=> (and ?v_977 ?v_980) ?v_979) (=> (and x634 ?v_978) ?v_979) (=> (and x634 ?v_980) (= tmp522 2)) (=> (and ?v_981 ?v_982) (= tmp521 0)) (=> (and ?v_981 ?v_984) ?v_983) (=> (and x114 ?v_982) ?v_983) (=> (and x114 ?v_984) (= tmp521 2)) (=> (and ?v_985 ?v_986) (= tmp520 0)) (=> (and ?v_985 ?v_988) ?v_987) (=> (and x729 ?v_986) ?v_987) (=> (and x729 ?v_988) (= tmp520 2)) (=> (and ?v_989 ?v_990) (= tmp519 0)) (=> (and ?v_989 ?v_992) ?v_991) (=> (and x18 ?v_990) ?v_991) (=> (and x18 ?v_992) (= tmp519 2)) (=> (and ?v_993 ?v_994) (= tmp518 0)) (=> (and ?v_993 ?v_996) ?v_995) (=> (and x490 ?v_994) ?v_995) (=> (and x490 ?v_996) (= tmp518 2)) (=> (and ?v_997 ?v_998) (= tmp517 0)) (=> (and ?v_997 ?v_1000) ?v_999) (=> (and x348 ?v_998) ?v_999) (=> (and x348 ?v_1000) (= tmp517 2)) (=> (and ?v_1001 ?v_1002) (= tmp516 0)) (=> (and ?v_1001 ?v_1004) ?v_1003) (=> (and x586 ?v_1002) ?v_1003) (=> (and x586 ?v_1004) (= tmp516 2)) (=> (and ?v_1005 ?v_1006) (= tmp515 0)) (=> (and ?v_1005 ?v_1008) ?v_1007) (=> (and x252 ?v_1006) ?v_1007) (=> (and x252 ?v_1008) (= tmp515 2)) (=> (and ?v_1009 ?v_1010) (= tmp514 0)) (=> (and ?v_1009 ?v_1012) ?v_1011) (=> (and x681 ?v_1010) ?v_1011) (=> (and x681 ?v_1012) (= tmp514 2)) (=> (and ?v_1013 ?v_1014) (= tmp513 0)) (=> (and ?v_1013 ?v_1016) ?v_1015) (=> (and x160 ?v_1014) ?v_1015) (=> (and x160 ?v_1016) (= tmp513 2)) (=> (and ?v_1017 ?v_1018) (= tmp512 0)) (=> (and ?v_1017 ?v_1020) ?v_1019) (=> (and x765 ?v_1018) ?v_1019) (=> (and x765 ?v_1020) (= tmp512 2)) (=> (and ?v_1021 ?v_1022) (= tmp511 0)) (=> (and ?v_1021 ?v_1024) ?v_1023) (=> (and x65 ?v_1022) ?v_1023) (=> (and x65 ?v_1024) (= tmp511 2)) (=> (and ?v_1025 ?v_1026) (= tmp510 0)) (=> (and ?v_1025 ?v_1028) ?v_1027) (=> (and x443 ?v_1026) ?v_1027) (=> (and x443 ?v_1028) (= tmp510 2)) (=> (and ?v_1029 ?v_1030) (= tmp509 0)) (=> (and ?v_1029 ?v_1032) ?v_1031) (=> (and x299 ?v_1030) ?v_1031) (=> (and x299 ?v_1032) (= tmp509 2)) (=> (and ?v_1033 ?v_1034) (= tmp508 0)) (=> (and ?v_1033 ?v_1036) ?v_1035) (=> (and x537 ?v_1034) ?v_1035) (=> (and x537 ?v_1036) (= tmp508 2)) (=> (and ?v_1037 ?v_1038) (= tmp507 0)) (=> (and ?v_1037 ?v_1040) ?v_1039) (=> (and x207 ?v_1038) ?v_1039) (=> (and x207 ?v_1040) (= tmp507 2)) (=> (and ?v_1041 ?v_1042) (= tmp506 0)) (=> (and ?v_1041 ?v_1044) ?v_1043) (=> (and x632 ?v_1042) ?v_1043) (=> (and x632 ?v_1044) (= tmp506 2)) (=> (and ?v_1045 ?v_1046) (= tmp505 0)) (=> (and ?v_1045 ?v_1048) ?v_1047) (=> (and x112 ?v_1046) ?v_1047) (=> (and x112 ?v_1048) (= tmp505 2)) (=> (and ?v_1049 ?v_1050) (= tmp504 0)) (=> (and ?v_1049 ?v_1052) ?v_1051) (=> (and x727 ?v_1050) ?v_1051) (=> (and x727 ?v_1052) (= tmp504 2)) (=> (and ?v_1053 ?v_1054) (= tmp503 0)) (=> (and ?v_1053 ?v_1056) ?v_1055) (=> (and x17 ?v_1054) ?v_1055) (=> (and x17 ?v_1056) (= tmp503 2)) (=> (and ?v_1057 ?v_1058) (= tmp502 0)) (=> (and ?v_1057 ?v_1060) ?v_1059) (=> (and x442 ?v_1058) ?v_1059) (=> (and x442 ?v_1060) (= tmp502 2)) (=> (and ?v_1061 ?v_1062) (= tmp501 0)) (=> (and ?v_1061 ?v_1064) ?v_1063) (=> (and x298 ?v_1062) ?v_1063) (=> (and x298 ?v_1064) (= tmp501 2)) (=> (and ?v_1065 ?v_1066) (= tmp500 0)) (=> (and ?v_1065 ?v_1068) ?v_1067) (=> (and x536 ?v_1066) ?v_1067) (=> (and x536 ?v_1068) (= tmp500 2)) (=> (and ?v_1069 ?v_1070) (= tmp499 0)) (=> (and ?v_1069 ?v_1072) ?v_1071) (=> (and x206 ?v_1070) ?v_1071) (=> (and x206 ?v_1072) (= tmp499 2)) (=> (and ?v_1073 ?v_1074) (= tmp498 0)) (=> (and ?v_1073 ?v_1076) ?v_1075) (=> (and x631 ?v_1074) ?v_1075) (=> (and x631 ?v_1076) (= tmp498 2)) (=> (and ?v_1077 ?v_1078) (= tmp497 0)) (=> (and ?v_1077 ?v_1080) ?v_1079) (=> (and x111 ?v_1078) ?v_1079) (=> (and x111 ?v_1080) (= tmp497 2)) (=> (and ?v_1081 ?v_1082) (= tmp496 0)) (=> (and ?v_1081 ?v_1084) ?v_1083) (=> (and x726 ?v_1082) ?v_1083) (=> (and x726 ?v_1084) (= tmp496 2)) (=> (and ?v_1085 ?v_1086) (= tmp495 0)) (=> (and ?v_1085 ?v_1088) ?v_1087) (=> (and x16 ?v_1086) ?v_1087) (=> (and x16 ?v_1088) (= tmp495 2)) (=> (and ?v_1089 ?v_1090) (= tmp494 0)) (=> (and ?v_1089 ?v_1092) ?v_1091) (=> (and x441 ?v_1090) ?v_1091) (=> (and x441 ?v_1092) (= tmp494 2)) (=> (and ?v_1093 ?v_1094) (= tmp493 0)) (=> (and ?v_1093 ?v_1096) ?v_1095) (=> (and x297 ?v_1094) ?v_1095) (=> (and x297 ?v_1096) (= tmp493 2)) (=> (and ?v_1097 ?v_1098) (= tmp492 0)) (=> (and ?v_1097 ?v_1100) ?v_1099) (=> (and x535 ?v_1098) ?v_1099) (=> (and x535 ?v_1100) (= tmp492 2)) (=> (and ?v_1101 ?v_1102) (= tmp491 0)) (=> (and ?v_1101 ?v_1104) ?v_1103) (=> (and x205 ?v_1102) ?v_1103) (=> (and x205 ?v_1104) (= tmp491 2)) (=> (and ?v_1105 ?v_1106) (= tmp490 0)) (=> (and ?v_1105 ?v_1108) ?v_1107) (=> (and x630 ?v_1106) ?v_1107) (=> (and x630 ?v_1108) (= tmp490 2)) (=> (and ?v_1109 ?v_1110) (= tmp489 0)) (=> (and ?v_1109 ?v_1112) ?v_1111) (=> (and x110 ?v_1110) ?v_1111) (=> (and x110 ?v_1112) (= tmp489 2)) (=> (and ?v_1113 ?v_1114) (= tmp488 0)) (=> (and ?v_1113 ?v_1116) ?v_1115) (=> (and x725 ?v_1114) ?v_1115) (=> (and x725 ?v_1116) (= tmp488 2)) (=> (and ?v_1117 ?v_1118) (= tmp487 0)) (=> (and ?v_1117 ?v_1120) ?v_1119) (=> (and x15 ?v_1118) ?v_1119) (=> (and x15 ?v_1120) (= tmp487 2)) (=> (and ?v_1121 ?v_1122) (= tmp486 0)) (=> (and ?v_1121 ?v_1124) ?v_1123) (=> (and x486 ?v_1122) ?v_1123) (=> (and x486 ?v_1124) (= tmp486 2)) (=> (and ?v_1125 ?v_1126) (= tmp485 0)) (=> (and ?v_1125 ?v_1128) ?v_1127) (=> (and x344 ?v_1126) ?v_1127) (=> (and x344 ?v_1128) (= tmp485 2)) (=> (and ?v_1129 ?v_1130) (= tmp484 0)) (=> (and ?v_1129 ?v_1132) ?v_1131) (=> (and x582 ?v_1130) ?v_1131) (=> (and x582 ?v_1132) (= tmp484 2)) (=> (and ?v_1133 ?v_1134) (= tmp483 0)) (=> (and ?v_1133 ?v_1136) ?v_1135) (=> (and x204 ?v_1134) ?v_1135) (=> (and x204 ?v_1136) (= tmp483 2)) (=> (and ?v_1137 ?v_1138) (= tmp482 0)) (=> (and ?v_1137 ?v_1140) ?v_1139) (=> (and x677 ?v_1138) ?v_1139) (=> (and x677 ?v_1140) (= tmp482 2)) (=> (and ?v_1141 ?v_1142) (= tmp481 0)) (=> (and ?v_1141 ?v_1144) ?v_1143) (=> (and x109 ?v_1142) ?v_1143) (=> (and x109 ?v_1144) (= tmp481 2)) (=> (and ?v_1145 ?v_1146) (= tmp480 0)) (=> (and ?v_1145 ?v_1148) ?v_1147) (=> (and x764 ?v_1146) ?v_1147) (=> (and x764 ?v_1148) (= tmp480 2)) (=> (and ?v_1149 ?v_1150) (= tmp479 0)) (=> (and ?v_1149 ?v_1152) ?v_1151) (=> (and x14 ?v_1150) ?v_1151) (=> (and x14 ?v_1152) (= tmp479 2)) (=> (and ?v_1153 ?v_1154) (= tmp478 0)) (=> (and ?v_1153 ?v_1156) ?v_1155) (=> (and x485 ?v_1154) ?v_1155) (=> (and x485 ?v_1156) (= tmp478 2)) (=> (and ?v_1157 ?v_1158) (= tmp477 0)) (=> (and ?v_1157 ?v_1160) ?v_1159) (=> (and x343 ?v_1158) ?v_1159) (=> (and x343 ?v_1160) (= tmp477 2)) (=> (and ?v_1161 ?v_1162) (= tmp476 0)) (=> (and ?v_1161 ?v_1164) ?v_1163) (=> (and x581 ?v_1162) ?v_1163) (=> (and x581 ?v_1164) (= tmp476 2)) (=> (and ?v_1165 ?v_1166) (= tmp475 0)) (=> (and ?v_1165 ?v_1168) ?v_1167) (=> (and x203 ?v_1166) ?v_1167) (=> (and x203 ?v_1168) (= tmp475 2)) (=> (and ?v_1169 ?v_1170) (= tmp474 0)) (=> (and ?v_1169 ?v_1172) ?v_1171) (=> (and x676 ?v_1170) ?v_1171) (=> (and x676 ?v_1172) (= tmp474 2)) (=> (and ?v_1173 ?v_1174) (= tmp473 0)) (=> (and ?v_1173 ?v_1176) ?v_1175) (=> (and x108 ?v_1174) ?v_1175) (=> (and x108 ?v_1176) (= tmp473 2)) (=> (and ?v_1177 ?v_1178) (= tmp472 0)) (=> (and ?v_1177 ?v_1180) ?v_1179) (=> (and x763 ?v_1178) ?v_1179) (=> (and x763 ?v_1180) (= tmp472 2)) (=> (and ?v_1181 ?v_1182) (= tmp471 0)) (=> (and ?v_1181 ?v_1184) ?v_1183) (=> (and x13 ?v_1182) ?v_1183) (=> (and x13 ?v_1184) (= tmp471 2)) (=> (and ?v_1185 ?v_1186) (= tmp470 0)) (=> (and ?v_1185 ?v_1188) ?v_1187) (=> (and x484 ?v_1186) ?v_1187) (=> (and x484 ?v_1188) (= tmp470 2)) (=> (and ?v_1189 ?v_1190) (= tmp469 0)) (=> (and ?v_1189 ?v_1192) ?v_1191) (=> (and x342 ?v_1190) ?v_1191) (=> (and x342 ?v_1192) (= tmp469 2)) (=> (and ?v_1193 ?v_1194) (= tmp468 0)) (=> (and ?v_1193 ?v_1196) ?v_1195) (=> (and x580 ?v_1194) ?v_1195) (=> (and x580 ?v_1196) (= tmp468 2)) (=> (and ?v_1197 ?v_1198) (= tmp467 0)) (=> (and ?v_1197 ?v_1200) ?v_1199) (=> (and x202 ?v_1198) ?v_1199) (=> (and x202 ?v_1200) (= tmp467 2)) (=> (and ?v_1201 ?v_1202) (= tmp466 0)) (=> (and ?v_1201 ?v_1204) ?v_1203) (=> (and x675 ?v_1202) ?v_1203) (=> (and x675 ?v_1204) (= tmp466 2)) (=> (and ?v_1205 ?v_1206) (= tmp465 0)) (=> (and ?v_1205 ?v_1208) ?v_1207) (=> (and x107 ?v_1206) ?v_1207) (=> (and x107 ?v_1208) (= tmp465 2)) (=> (and ?v_1209 ?v_1210) (= tmp464 0)) (=> (and ?v_1209 ?v_1212) ?v_1211) (=> (and x762 ?v_1210) ?v_1211) (=> (and x762 ?v_1212) (= tmp464 2)) (=> (and ?v_1213 ?v_1214) (= tmp463 0)) (=> (and ?v_1213 ?v_1216) ?v_1215) (=> (and x12 ?v_1214) ?v_1215) (=> (and x12 ?v_1216) (= tmp463 2)) (=> (and ?v_1217 ?v_1218) (= tmp462 0)) (=> (and ?v_1217 ?v_1220) ?v_1219) (=> (and x483 ?v_1218) ?v_1219) (=> (and x483 ?v_1220) (= tmp462 2)) (=> (and ?v_1221 ?v_1222) (= tmp461 0)) (=> (and ?v_1221 ?v_1224) ?v_1223) (=> (and x341 ?v_1222) ?v_1223) (=> (and x341 ?v_1224) (= tmp461 2)) (=> (and ?v_1225 ?v_1226) (= tmp460 0)) (=> (and ?v_1225 ?v_1228) ?v_1227) (=> (and x579 ?v_1226) ?v_1227) (=> (and x579 ?v_1228) (= tmp460 2)) (=> (and ?v_1229 ?v_1230) (= tmp459 0)) (=> (and ?v_1229 ?v_1232) ?v_1231) (=> (and x201 ?v_1230) ?v_1231) (=> (and x201 ?v_1232) (= tmp459 2)) (=> (and ?v_1233 ?v_1234) (= tmp458 0)) (=> (and ?v_1233 ?v_1236) ?v_1235) (=> (and x674 ?v_1234) ?v_1235) (=> (and x674 ?v_1236) (= tmp458 2)) (=> (and ?v_1237 ?v_1238) (= tmp457 0)) (=> (and ?v_1237 ?v_1240) ?v_1239) (=> (and x106 ?v_1238) ?v_1239) (=> (and x106 ?v_1240) (= tmp457 2)) (=> (and ?v_1241 ?v_1242) (= tmp456 0)) (=> (and ?v_1241 ?v_1244) ?v_1243) (=> (and x761 ?v_1242) ?v_1243) (=> (and x761 ?v_1244) (= tmp456 2)) (=> (and ?v_1245 ?v_1246) (= tmp455 0)) (=> (and ?v_1245 ?v_1248) ?v_1247) (=> (and x11 ?v_1246) ?v_1247) (=> (and x11 ?v_1248) (= tmp455 2)) (=> (and ?v_1249 ?v_1250) (= tmp454 0)) (=> (and ?v_1249 ?v_1252) ?v_1251) (=> (and x436 ?v_1250) ?v_1251) (=> (and x436 ?v_1252) (= tmp454 2)) (=> (and ?v_1253 ?v_1254) (= tmp453 0)) (=> (and ?v_1253 ?v_1256) ?v_1255) (=> (and x292 ?v_1254) ?v_1255) (=> (and x292 ?v_1256) (= tmp453 2)) (=> (and ?v_1257 ?v_1258) (= tmp452 0)) (=> (and ?v_1257 ?v_1260) ?v_1259) (=> (and x530 ?v_1258) ?v_1259) (=> (and x530 ?v_1260) (= tmp452 2)) (=> (and ?v_1261 ?v_1262) (= tmp451 0)) (=> (and ?v_1261 ?v_1264) ?v_1263) (=> (and x200 ?v_1262) ?v_1263) (=> (and x200 ?v_1264) (= tmp451 2)) (=> (and ?v_1265 ?v_1266) (= tmp450 0)) (=> (and ?v_1265 ?v_1268) ?v_1267) (=> (and x625 ?v_1266) ?v_1267) (=> (and x625 ?v_1268) (= tmp450 2)) (=> (and ?v_1269 ?v_1270) (= tmp449 0)) (=> (and ?v_1269 ?v_1272) ?v_1271) (=> (and x105 ?v_1270) ?v_1271) (=> (and x105 ?v_1272) (= tmp449 2)) (=> (and ?v_1273 ?v_1274) (= tmp448 0)) (=> (and ?v_1273 ?v_1276) ?v_1275) (=> (and x720 ?v_1274) ?v_1275) (=> (and x720 ?v_1276) (= tmp448 2)) (=> (and ?v_1277 ?v_1278) (= tmp447 0)) (=> (and ?v_1277 ?v_1280) ?v_1279) (=> (and x10 ?v_1278) ?v_1279) (=> (and x10 ?v_1280) (= tmp447 2)) (=> (and ?v_1281 ?v_1282) (= tmp446 0)) (=> (and ?v_1281 ?v_1284) ?v_1283) (=> (and x435 ?v_1282) ?v_1283) (=> (and x435 ?v_1284) (= tmp446 2)) (=> (and ?v_1285 ?v_1286) (= tmp445 0)) (=> (and ?v_1285 ?v_1288) ?v_1287) (=> (and x291 ?v_1286) ?v_1287) (=> (and x291 ?v_1288) (= tmp445 2)) (=> (and ?v_1289 ?v_1290) (= tmp444 0)) (=> (and ?v_1289 ?v_1292) ?v_1291) (=> (and x529 ?v_1290) ?v_1291) (=> (and x529 ?v_1292) (= tmp444 2)) (=> (and ?v_1293 ?v_1294) (= tmp443 0)) (=> (and ?v_1293 ?v_1296) ?v_1295) (=> (and x199 ?v_1294) ?v_1295) (=> (and x199 ?v_1296) (= tmp443 2)) (=> (and ?v_1297 ?v_1298) (= tmp442 0)) (=> (and ?v_1297 ?v_1300) ?v_1299) (=> (and x624 ?v_1298) ?v_1299) (=> (and x624 ?v_1300) (= tmp442 2)) (=> (and ?v_1301 ?v_1302) (= tmp441 0)) (=> (and ?v_1301 ?v_1304) ?v_1303) (=> (and x104 ?v_1302) ?v_1303) (=> (and x104 ?v_1304) (= tmp441 2)) (=> (and ?v_1305 ?v_1306) (= tmp440 0)) (=> (and ?v_1305 ?v_1308) ?v_1307) (=> (and x719 ?v_1306) ?v_1307) (=> (and x719 ?v_1308) (= tmp440 2)) (=> (and ?v_1309 ?v_1310) (= tmp439 0)) (=> (and ?v_1309 ?v_1312) ?v_1311) (=> (and x9 ?v_1310) ?v_1311) (=> (and x9 ?v_1312) (= tmp439 2)) (=> (and ?v_1313 ?v_1314) (= tmp438 0)) (=> (and ?v_1313 ?v_1316) ?v_1315) (=> (and x434 ?v_1314) ?v_1315) (=> (and x434 ?v_1316) (= tmp438 2)) (=> (and ?v_1317 ?v_1318) (= tmp437 0)) (=> (and ?v_1317 ?v_1320) ?v_1319) (=> (and x290 ?v_1318) ?v_1319) (=> (and x290 ?v_1320) (= tmp437 2)) (=> (and ?v_1321 ?v_1322) (= tmp436 0)) (=> (and ?v_1321 ?v_1324) ?v_1323) (=> (and x528 ?v_1322) ?v_1323) (=> (and x528 ?v_1324) (= tmp436 2)) (=> (and ?v_1325 ?v_1326) (= tmp435 0)) (=> (and ?v_1325 ?v_1328) ?v_1327) (=> (and x198 ?v_1326) ?v_1327) (=> (and x198 ?v_1328) (= tmp435 2)) (=> (and ?v_1329 ?v_1330) (= tmp434 0)) (=> (and ?v_1329 ?v_1332) ?v_1331) (=> (and x623 ?v_1330) ?v_1331) (=> (and x623 ?v_1332) (= tmp434 2)) (=> (and ?v_1333 ?v_1334) (= tmp433 0)) (=> (and ?v_1333 ?v_1336) ?v_1335) (=> (and x103 ?v_1334) ?v_1335) (=> (and x103 ?v_1336) (= tmp433 2)) (=> (and ?v_1337 ?v_1338) (= tmp432 0)) (=> (and ?v_1337 ?v_1340) ?v_1339) (=> (and x718 ?v_1338) ?v_1339) (=> (and x718 ?v_1340) (= tmp432 2)) (=> (and ?v_1341 ?v_1342) (= tmp431 0)) (=> (and ?v_1341 ?v_1344) ?v_1343) (=> (and x8 ?v_1342) ?v_1343) (=> (and x8 ?v_1344) (= tmp431 2)) (=> (and ?v_1345 ?v_1346) (= tmp430 0)) (=> (and ?v_1345 ?v_1348) ?v_1347) (=> (and x433 ?v_1346) ?v_1347) (=> (and x433 ?v_1348) (= tmp430 2)) (=> (and ?v_1349 ?v_1350) (= tmp429 0)) (=> (and ?v_1349 ?v_1352) ?v_1351) (=> (and x289 ?v_1350) ?v_1351) (=> (and x289 ?v_1352) (= tmp429 2)) (=> (and ?v_1353 ?v_1354) (= tmp428 0)) (=> (and ?v_1353 ?v_1356) ?v_1355) (=> (and x527 ?v_1354) ?v_1355) (=> (and x527 ?v_1356) (= tmp428 2)) (=> (and ?v_1357 ?v_1358) (= tmp427 0)) (=> (and ?v_1357 ?v_1360) ?v_1359) (=> (and x197 ?v_1358) ?v_1359) (=> (and x197 ?v_1360) (= tmp427 2)) (=> (and ?v_1361 ?v_1362) (= tmp426 0)) (=> (and ?v_1361 ?v_1364) ?v_1363) (=> (and x622 ?v_1362) ?v_1363) (=> (and x622 ?v_1364) (= tmp426 2)) (=> (and ?v_1365 ?v_1366) (= tmp425 0)) (=> (and ?v_1365 ?v_1368) ?v_1367) (=> (and x102 ?v_1366) ?v_1367) (=> (and x102 ?v_1368) (= tmp425 2)) (=> (and ?v_1369 ?v_1370) (= tmp424 0)) (=> (and ?v_1369 ?v_1372) ?v_1371) (=> (and x717 ?v_1370) ?v_1371) (=> (and x717 ?v_1372) (= tmp424 2)) (=> (and ?v_1373 ?v_1374) (= tmp423 0)) (=> (and ?v_1373 ?v_1376) ?v_1375) (=> (and x7 ?v_1374) ?v_1375) (=> (and x7 ?v_1376) (= tmp423 2)) (=> (and ?v_1377 ?v_1378) (= tmp422 0)) (=> (and ?v_1377 ?v_1380) ?v_1379) (=> (and x432 ?v_1378) ?v_1379) (=> (and x432 ?v_1380) (= tmp422 2)) (=> (and ?v_1381 ?v_1382) (= tmp421 0)) (=> (and ?v_1381 ?v_1384) ?v_1383) (=> (and x288 ?v_1382) ?v_1383) (=> (and x288 ?v_1384) (= tmp421 2)) (=> (and ?v_1385 ?v_1386) (= tmp420 0)) (=> (and ?v_1385 ?v_1388) ?v_1387) (=> (and x526 ?v_1386) ?v_1387) (=> (and x526 ?v_1388) (= tmp420 2)) (=> (and ?v_1389 ?v_1390) (= tmp419 0)) (=> (and ?v_1389 ?v_1392) ?v_1391) (=> (and x196 ?v_1390) ?v_1391) (=> (and x196 ?v_1392) (= tmp419 2)) (=> (and ?v_1393 ?v_1394) (= tmp418 0)) (=> (and ?v_1393 ?v_1396) ?v_1395) (=> (and x621 ?v_1394) ?v_1395) (=> (and x621 ?v_1396) (= tmp418 2)) (=> (and ?v_1397 ?v_1398) (= tmp417 0)) (=> (and ?v_1397 ?v_1400) ?v_1399) (=> (and x101 ?v_1398) ?v_1399) (=> (and x101 ?v_1400) (= tmp417 2)) (=> (and ?v_1401 ?v_1402) (= tmp416 0)) (=> (and ?v_1401 ?v_1404) ?v_1403) (=> (and x716 ?v_1402) ?v_1403) (=> (and x716 ?v_1404) (= tmp416 2)) (=> (and ?v_1405 ?v_1406) (= tmp415 0)) (=> (and ?v_1405 ?v_1408) ?v_1407) (=> (and x6 ?v_1406) ?v_1407) (=> (and x6 ?v_1408) (= tmp415 2)) (=> (and ?v_1409 ?v_1410) (= tmp414 0)) (=> (and ?v_1409 ?v_1412) ?v_1411) (=> (and x431 ?v_1410) ?v_1411) (=> (and x431 ?v_1412) (= tmp414 2)) (=> (and ?v_1413 ?v_1414) (= tmp413 0)) (=> (and ?v_1413 ?v_1416) ?v_1415) (=> (and x287 ?v_1414) ?v_1415) (=> (and x287 ?v_1416) (= tmp413 2)) (=> (and ?v_1417 ?v_1418) (= tmp412 0)) (=> (and ?v_1417 ?v_1420) ?v_1419) (=> (and x525 ?v_1418) ?v_1419) (=> (and x525 ?v_1420) (= tmp412 2)) (=> (and ?v_1421 ?v_1422) (= tmp411 0)) (=> (and ?v_1421 ?v_1424) ?v_1423) (=> (and x195 ?v_1422) ?v_1423) (=> (and x195 ?v_1424) (= tmp411 2)) (=> (and ?v_1425 ?v_1426) (= tmp410 0)) (=> (and ?v_1425 ?v_1428) ?v_1427) (=> (and x620 ?v_1426) ?v_1427) (=> (and x620 ?v_1428) (= tmp410 2)) (=> (and ?v_1429 ?v_1430) (= tmp409 0)) (=> (and ?v_1429 ?v_1432) ?v_1431) (=> (and x100 ?v_1430) ?v_1431) (=> (and x100 ?v_1432) (= tmp409 2)) (=> (and ?v_1433 ?v_1434) (= tmp408 0)) (=> (and ?v_1433 ?v_1436) ?v_1435) (=> (and x715 ?v_1434) ?v_1435) (=> (and x715 ?v_1436) (= tmp408 2)) (=> (and ?v_1437 ?v_1438) (= tmp407 0)) (=> (and ?v_1437 ?v_1440) ?v_1439) (=> (and x5 ?v_1438) ?v_1439) (=> (and x5 ?v_1440) (= tmp407 2)) (=> (and ?v_1441 ?v_1442) (= tmp406 0)) (=> (and ?v_1441 ?v_1444) ?v_1443) (=> (and x430 ?v_1442) ?v_1443) (=> (and x430 ?v_1444) (= tmp406 2)) (=> (and ?v_1445 ?v_1446) (= tmp405 0)) (=> (and ?v_1445 ?v_1448) ?v_1447) (=> (and x286 ?v_1446) ?v_1447) (=> (and x286 ?v_1448) (= tmp405 2)) (=> (and ?v_1449 ?v_1450) (= tmp404 0)) (=> (and ?v_1449 ?v_1452) ?v_1451) (=> (and x524 ?v_1450) ?v_1451) (=> (and x524 ?v_1452) (= tmp404 2)) (=> (and ?v_1453 ?v_1454) (= tmp403 0)) (=> (and ?v_1453 ?v_1456) ?v_1455) (=> (and x194 ?v_1454) ?v_1455) (=> (and x194 ?v_1456) (= tmp403 2)) (=> (and ?v_1457 ?v_1458) (= tmp402 0)) (=> (and ?v_1457 ?v_1460) ?v_1459) (=> (and x619 ?v_1458) ?v_1459) (=> (and x619 ?v_1460) (= tmp402 2)) (=> (and ?v_1461 ?v_1462) (= tmp401 0)) (=> (and ?v_1461 ?v_1464) ?v_1463) (=> (and x99 ?v_1462) ?v_1463) (=> (and x99 ?v_1464) (= tmp401 2)) (=> (and ?v_1465 ?v_1466) (= tmp400 0)) (=> (and ?v_1465 ?v_1468) ?v_1467) (=> (and x714 ?v_1466) ?v_1467) (=> (and x714 ?v_1468) (= tmp400 2)) (=> (and ?v_1469 ?v_1470) (= tmp399 0)) (=> (and ?v_1469 ?v_1472) ?v_1471) (=> (and x4 ?v_1470) ?v_1471) (=> (and x4 ?v_1472) (= tmp399 2)) (=> (and ?v_1473 ?v_1474) (= tmp398 0)) (=> (and ?v_1473 ?v_1476) ?v_1475) (=> (and x429 ?v_1474) ?v_1475) (=> (and x429 ?v_1476) (= tmp398 2)) (=> (and ?v_1477 ?v_1478) (= tmp397 0)) (=> (and ?v_1477 ?v_1480) ?v_1479) (=> (and x285 ?v_1478) ?v_1479) (=> (and x285 ?v_1480) (= tmp397 2)) (=> (and ?v_1481 ?v_1482) (= tmp396 0)) (=> (and ?v_1481 ?v_1484) ?v_1483) (=> (and x523 ?v_1482) ?v_1483) (=> (and x523 ?v_1484) (= tmp396 2)) (=> (and ?v_1485 ?v_1486) (= tmp395 0)) (=> (and ?v_1485 ?v_1488) ?v_1487) (=> (and x193 ?v_1486) ?v_1487) (=> (and x193 ?v_1488) (= tmp395 2)) (=> (and ?v_1489 ?v_1490) (= tmp394 0)) (=> (and ?v_1489 ?v_1492) ?v_1491) (=> (and x618 ?v_1490) ?v_1491) (=> (and x618 ?v_1492) (= tmp394 2)) (=> (and ?v_1493 ?v_1494) (= tmp393 0)) (=> (and ?v_1493 ?v_1496) ?v_1495) (=> (and x98 ?v_1494) ?v_1495) (=> (and x98 ?v_1496) (= tmp393 2)) (=> (and ?v_1497 ?v_1498) (= tmp392 0)) (=> (and ?v_1497 ?v_1500) ?v_1499) (=> (and x713 ?v_1498) ?v_1499) (=> (and x713 ?v_1500) (= tmp392 2)) (=> (and ?v_1501 ?v_1502) (= tmp391 0)) (=> (and ?v_1501 ?v_1504) ?v_1503) (=> (and x3 ?v_1502) ?v_1503) (=> (and x3 ?v_1504) (= tmp391 2)) (=> (and ?v_1505 ?v_1506) (= tmp390 0)) (=> (and ?v_1505 ?v_1508) ?v_1507) (=> (and x428 ?v_1506) ?v_1507) (=> (and x428 ?v_1508) (= tmp390 2)) (=> (and ?v_1509 ?v_1510) (= tmp389 0)) (=> (and ?v_1509 ?v_1512) ?v_1511) (=> (and x284 ?v_1510) ?v_1511) (=> (and x284 ?v_1512) (= tmp389 2)) (=> (and ?v_1513 ?v_1514) (= tmp388 0)) (=> (and ?v_1513 ?v_1516) ?v_1515) (=> (and x570 ?v_1514) ?v_1515) (=> (and x570 ?v_1516) (= tmp388 2)) (=> (and ?v_1517 ?v_1518) (= tmp387 0)) (=> (and ?v_1517 ?v_1520) ?v_1519) (=> (and x192 ?v_1518) ?v_1519) (=> (and x192 ?v_1520) (= tmp387 2)) (=> (and ?v_1521 ?v_1522) (= tmp386 0)) (=> (and ?v_1521 ?v_1524) ?v_1523) (=> (and x665 ?v_1522) ?v_1523) (=> (and x665 ?v_1524) (= tmp386 2)) (=> (and ?v_1525 ?v_1526) (= tmp385 0)) (=> (and ?v_1525 ?v_1528) ?v_1527) (=> (and x97 ?v_1526) ?v_1527) (=> (and x97 ?v_1528) (= tmp385 2)) (=> (and ?v_1529 ?v_1530) (= tmp384 0)) (=> (and ?v_1529 ?v_1532) ?v_1531) (=> (and x760 ?v_1530) ?v_1531) (=> (and x760 ?v_1532) (= tmp384 2)) (=> (and ?v_1533 ?v_1534) (= tmp383 0)) (=> (and ?v_1533 ?v_1536) ?v_1535) (=> (and x2 ?v_1534) ?v_1535) (=> (and x2 ?v_1536) (= tmp383 2)) (=> (and ?v_729 ?v_1537) (= tmp382 0)) (=> (and ?v_729 ?v_1539) ?v_1538) (=> (and x737 ?v_1537) ?v_1538) (=> (and x737 ?v_1539) (= tmp382 12)) (=> (and ?v_817 ?v_1540) (= tmp381 0)) (=> (and ?v_817 ?v_1542) ?v_1541) (=> (and x734 ?v_1540) ?v_1541) (=> (and x734 ?v_1542) (= tmp381 8)) (=> (and ?v_1543 ?v_1544) (= tmp380 0)) (=> (and ?v_1543 ?v_1546) ?v_1545) (=> (and x739 ?v_1544) ?v_1545) (=> (and x739 ?v_1546) (= tmp380 12)) (=> (and ?v_889 ?v_1547) (= tmp379 0)) (=> (and ?v_889 ?v_1549) ?v_1548) (=> (and x732 ?v_1547) ?v_1548) (=> (and x732 ?v_1549) (= tmp379 12)) (=> (and ?v_601 ?v_1550) (= tmp378 0)) (=> (and ?v_601 ?v_1551) (= tmp378 6)) (=> (and x741 ?v_1550) (= tmp378 8)) (=> (and x741 ?v_1551) (= tmp378 14)) (=> (and ?v_953 ?v_1552) (= tmp377 0)) (=> (and ?v_953 ?v_1554) ?v_1553) (=> (and x730 ?v_1552) ?v_1553) (=> (and x730 ?v_1554) (= tmp377 16)) (=> (and ?v_537 ?v_1555) (= tmp376 0)) (=> (and ?v_537 ?v_1557) ?v_1556) (=> (and x743 ?v_1555) ?v_1556) (=> (and x743 ?v_1557) (= tmp376 16)) (=> (and ?v_1558 ?v_1559) (= tmp375 0)) (=> (and ?v_1558 ?v_1561) ?v_1560) (=> (and x728 ?v_1559) ?v_1560) (=> (and x728 ?v_1561) (= tmp375 16)) (=> (and ?v_473 ?v_1562) (= tmp374 0)) (=> (and ?v_473 ?v_1564) ?v_1563) (=> (and x745 ?v_1562) ?v_1563) (=> (and x745 ?v_1564) (= tmp374 16)) (=> (and ?v_1081 ?v_1565) (= tmp373 0)) (=> (and ?v_1081 ?v_1567) ?v_1566) (=> (and x726 ?v_1565) ?v_1566) (=> (and x726 ?v_1567) (= tmp373 16)) (=> (and ?v_409 ?v_1568) (= tmp372 0)) (=> (and ?v_409 ?v_1570) ?v_1569) (=> (and x747 ?v_1568) ?v_1569) (=> (and x747 ?v_1570) (= tmp372 16)) (=> (and ?v_1571 ?v_1572) (= tmp371 0)) (=> (and ?v_1571 ?v_1574) ?v_1573) (=> (and x724 ?v_1572) ?v_1573) (=> (and x724 ?v_1574) (= tmp371 16)) (=> (and ?v_345 ?v_1575) (= tmp370 0)) (=> (and ?v_345 ?v_1577) ?v_1576) (=> (and x749 ?v_1575) ?v_1576) (=> (and x749 ?v_1577) (= tmp370 12)) (=> (and ?v_1578 ?v_1178) (= tmp369 0)) (=> (and ?v_1578 ?v_1180) ?v_1579) (=> (and x722 ?v_1178) ?v_1579) (=> (and x722 ?v_1180) (= tmp369 16)) (=> (and ?v_281 ?v_1580) (= tmp368 0)) (=> (and ?v_281 ?v_1581) (= tmp368 2)) (=> (and x751 ?v_1580) (= tmp368 6)) (=> (and x751 ?v_1581) (= tmp368 8)) (=> (and ?v_1273 ?v_1242) (= tmp367 0)) (=> (and ?v_1273 ?v_1244) ?v_1582) (=> (and x720 ?v_1242) ?v_1582) (=> (and x720 ?v_1244) (= tmp367 16)) (=> (and ?v_217 ?v_250) (= tmp366 0)) (=> (and ?v_217 ?v_252) ?v_1583) (=> (and x753 ?v_250) ?v_1583) (=> (and x753 ?v_252) (= tmp366 16)) (=> (and ?v_1337 ?v_1584) (= tmp365 0)) (=> (and ?v_1337 ?v_1585) (= tmp365 8)) (=> (and x718 ?v_1584) (= tmp365 6)) (=> (and x718 ?v_1585) (= tmp365 14)) (=> (and ?v_153 ?v_1586) (= tmp364 0)) (=> (and ?v_153 ?v_1588) ?v_1587) (=> (and x755 ?v_1586) ?v_1587) (=> (and x755 ?v_1588) (= tmp364 16)) (=> (and ?v_1401 ?v_1589) (= tmp363 0)) (=> (and ?v_1401 ?v_1590) (= tmp363 4)) (=> (and x716 ?v_1589) (= tmp363 6)) (=> (and x716 ?v_1590) (= tmp363 10)) (=> (and ?v_89 ?v_1591) (= tmp362 0)) (=> (and ?v_89 ?v_1593) ?v_1592) (=> (and x757 ?v_1591) ?v_1592) (=> (and x757 ?v_1593) (= tmp362 16)) (=> (and ?v_1465 ?v_1594) (= tmp361 0)) (=> (and ?v_1465 ?v_1596) ?v_1595) (=> (and x714 ?v_1594) ?v_1595) (=> (and x714 ?v_1596) (= tmp361 16)) (=> (and ?v_25 ?v_1597) (= tmp360 0)) (=> (and ?v_25 ?v_1598) (= tmp360 6)) (=> (and x759 ?v_1597) (= tmp360 8)) (=> (and x759 ?v_1598) (= tmp360 14)) (=> (and ?v_1599 ?v_1600) (= tmp359 0)) (=> (and ?v_1599 ?v_1602) ?v_1601) (=> (and x712 ?v_1600) ?v_1601) (=> (and x712 ?v_1602) (= tmp359 16)) (=> ?v_730 (= tmp358 0)) (=> ?v_732 (= tmp358 4)) (=> (and ?v_1603 ?v_762) (= tmp357 0)) (=> (and ?v_1603 ?v_764) (= tmp357 4)) (=> (and x687 ?v_762) (= tmp357 2)) (=> (and x687 ?v_764) (= tmp357 6)) (=> (and ?v_657 ?v_698) (= tmp356 0)) (=> (and ?v_657 ?v_700) ?v_1604) (=> (and x691 ?v_698) ?v_1604) (=> (and x691 ?v_700) (= tmp356 4)) (=> (and ?v_1605 ?v_858) (= tmp355 0)) (=> (and ?v_1605 ?v_860) (= tmp355 4)) (=> (and x685 ?v_858) (= tmp355 6)) (=> (and x685 ?v_860) (= tmp355 10)) (=> (and ?v_1606 ?v_634) (= tmp354 0)) (=> (and ?v_1606 ?v_636) ?v_1607) (=> (and x693 ?v_634) ?v_1607) (=> (and x693 ?v_636) (= tmp354 8)) (=> (and ?v_1608 ?v_922) (= tmp353 0)) (=> (and ?v_1608 ?v_924) (= tmp353 6)) (=> (and x683 ?v_922) (= tmp353 8)) (=> (and x683 ?v_924) (= tmp353 14)) (=> (and ?v_1609 ?v_570) (= tmp352 0)) (=> (and ?v_1609 ?v_572) ?v_1610) (=> (and x695 ?v_570) ?v_1610) (=> (and x695 ?v_572) (= tmp352 8)) (=> (and ?v_1009 ?v_986) (= tmp351 0)) (=> (and ?v_1009 ?v_988) (= tmp351 8)) (=> (and x681 ?v_986) (= tmp351 6)) (=> (and x681 ?v_988) (= tmp351 14)) (=> (and ?v_1611 ?v_506) (= tmp350 0)) (=> (and ?v_1611 ?v_508) ?v_1612) (=> (and x697 ?v_506) ?v_1612) (=> (and x697 ?v_508) (= tmp350 4)) (=> (and ?v_1613 ?v_1050) (= tmp349 0)) (=> (and ?v_1613 ?v_1052) ?v_1614) (=> (and x679 ?v_1050) ?v_1614) (=> (and x679 ?v_1052) (= tmp349 12)) (=> (and ?v_1615 ?v_442) (= tmp348 0)) (=> (and ?v_1615 ?v_444) (= tmp348 4)) (=> (and x699 ?v_442) (= tmp348 6)) (=> (and x699 ?v_444) (= tmp348 10)) (=> (and ?v_1137 ?v_1114) (= tmp347 0)) (=> (and ?v_1137 ?v_1116) (= tmp347 6)) (=> (and x677 ?v_1114) (= tmp347 8)) (=> (and x677 ?v_1116) (= tmp347 14)) (=> (and ?v_1616 ?v_378) (= tmp346 0)) (=> (and ?v_1616 ?v_380) (= tmp346 6)) (=> (and x701 ?v_378) (= tmp346 8)) (=> (and x701 ?v_380) (= tmp346 14)) (=> (and ?v_1201 ?v_1617) (= tmp345 0)) (=> (and ?v_1201 ?v_1619) ?v_1618) (=> (and x675 ?v_1617) ?v_1618) (=> (and x675 ?v_1619) (= tmp345 16)) (=> (and ?v_1620 ?v_314) (= tmp344 0)) (=> (and ?v_1620 ?v_316) ?v_1621) (=> (and x703 ?v_314) ?v_1621) (=> (and x703 ?v_316) (= tmp344 12)) (=> (and ?v_1622 ?v_1623) (= tmp343 0)) (=> (and ?v_1622 ?v_1625) ?v_1624) (=> (and x673 ?v_1623) ?v_1624) (=> (and x673 ?v_1625) (= tmp343 16)) (=> (and ?v_1626 ?v_1627) (= tmp342 0)) (=> (and ?v_1626 ?v_1628) (= tmp342 4)) (=> (and x705 ?v_1627) (= tmp342 2)) (=> (and x705 ?v_1628) (= tmp342 6)) (=> (and ?v_1629 ?v_1306) (= tmp341 0)) (=> (and ?v_1629 ?v_1308) ?v_1630) (=> (and x671 ?v_1306) ?v_1630) (=> (and x671 ?v_1308) (= tmp341 16)) (=> (and ?v_1631 ?v_186) (= tmp340 0)) (=> (and ?v_1631 ?v_188) (= tmp340 2)) (=> (and x707 ?v_186) (= tmp340 4)) (=> (and x707 ?v_188) (= tmp340 6)) (=> (and ?v_1632 ?v_1370) (= tmp339 0)) (=> (and ?v_1632 ?v_1372) ?v_1633) (=> (and x669 ?v_1370) ?v_1633) (=> (and x669 ?v_1372) (= tmp339 16)) (=> (and ?v_1634 ?v_122) (= tmp338 0)) (=> (and ?v_1634 ?v_124) ?v_1635) (=> (and x709 ?v_122) ?v_1635) (=> (and x709 ?v_124) (= tmp338 12)) (=> (and ?v_1636 ?v_1434) (= tmp337 0)) (=> (and ?v_1636 ?v_1436) ?v_1637) (=> (and x667 ?v_1434) ?v_1637) (=> (and x667 ?v_1436) (= tmp337 16)) (=> (and ?v_1638 ?v_58) (= tmp336 0)) (=> (and ?v_1638 ?v_60) ?v_1639) (=> (and x711 ?v_58) ?v_1639) (=> (and x711 ?v_60) (= tmp336 12)) (=> (and ?v_1521 ?v_1498) (= tmp335 0)) (=> (and ?v_1521 ?v_1500) ?v_1640) (=> (and x665 ?v_1498) ?v_1640) (=> (and x665 ?v_1500) (= tmp335 16)) (=> (and ?v_721 ?v_1641) (= tmp334 0)) (=> (and ?v_721 ?v_1642) (= tmp334 6)) (=> (and x642 ?v_1641) (= tmp334 8)) (=> (and x642 ?v_1642) (= tmp334 14)) (=> (and ?v_1643 ?v_1644) (= tmp333 0)) (=> (and ?v_1643 ?v_1646) ?v_1645) (=> (and x639 ?v_1644) ?v_1645) (=> (and x639 ?v_1646) (= tmp333 12)) (=> (and ?v_1647 ?v_1648) (= tmp332 0)) (=> (and ?v_1647 ?v_1650) ?v_1649) (=> (and x644 ?v_1648) ?v_1649) (=> (and x644 ?v_1650) (= tmp332 16)) (=> (and ?v_881 ?v_1651) (= tmp331 0)) (=> (and ?v_881 ?v_1653) ?v_1652) (=> (and x637 ?v_1651) ?v_1652) (=> (and x637 ?v_1653) (= tmp331 12)) (=> (and ?v_593 ?v_1654) (= tmp330 0)) (=> (and ?v_593 ?v_1656) ?v_1655) (=> (and x646 ?v_1654) ?v_1655) (=> (and x646 ?v_1656) (= tmp330 16)) (=> (and ?v_945 ?v_1657) (= tmp329 0)) (=> (and ?v_945 ?v_1658) (= tmp329 6)) (=> (and x635 ?v_1657) (= tmp329 8)) (=> (and x635 ?v_1658) (= tmp329 14)) (=> (and ?v_529 ?v_1659) (= tmp328 0)) (=> (and ?v_529 ?v_1660) (= tmp328 8)) (=> (and x648 ?v_1659) (= tmp328 6)) (=> (and x648 ?v_1660) (= tmp328 14)) (=> (and ?v_1661 ?v_1662) (= tmp327 0)) (=> (and ?v_1661 ?v_1664) ?v_1663) (=> (and x633 ?v_1662) ?v_1663) (=> (and x633 ?v_1664) (= tmp327 16)) (=> (and ?v_465 ?v_1665) (= tmp326 0)) (=> (and ?v_465 ?v_1667) ?v_1666) (=> (and x650 ?v_1665) ?v_1666) (=> (and x650 ?v_1667) (= tmp326 12)) (=> (and ?v_1073 ?v_1668) (= tmp325 0)) (=> (and ?v_1073 ?v_1670) ?v_1669) (=> (and x631 ?v_1668) ?v_1669) (=> (and x631 ?v_1670) (= tmp325 12)) (=> (and ?v_401 ?v_1671) (= tmp324 0)) (=> (and ?v_401 ?v_1672) (= tmp324 6)) (=> (and x652 ?v_1671) (= tmp324 2)) (=> (and x652 ?v_1672) (= tmp324 8)) (=> (and ?v_1673 ?v_1674) (= tmp323 0)) (=> (and ?v_1673 ?v_1675) (= tmp323 2)) (=> (and x629 ?v_1674) (= tmp323 4)) (=> (and x629 ?v_1675) (= tmp323 6)) (=> (and ?v_337 ?v_1676) (= tmp322 0)) (=> (and ?v_337 ?v_1678) ?v_1677) (=> (and x654 ?v_1676) ?v_1677) (=> (and x654 ?v_1678) (= tmp322 8)) (=> (and ?v_1679 ?v_1170) (= tmp321 0)) (=> (and ?v_1679 ?v_1172) ?v_1680) (=> (and x627 ?v_1170) ?v_1680) (=> (and x627 ?v_1172) (= tmp321 8)) (=> (and ?v_273 ?v_1681) (= tmp320 0)) (=> (and ?v_273 ?v_1682) (= tmp320 4)) (=> (and x656 ?v_1681) (= tmp320 2)) (=> (and x656 ?v_1682) (= tmp320 6)) (=> (and ?v_1265 ?v_1234) (= tmp319 0)) (=> (and ?v_1265 ?v_1236) (= tmp319 4)) (=> (and x625 ?v_1234) (= tmp319 6)) (=> (and x625 ?v_1236) (= tmp319 10)) (=> (and ?v_209 ?v_242) (= tmp318 0)) (=> (and ?v_209 ?v_244) (= tmp318 4)) (=> (and x658 ?v_242) (= tmp318 6)) (=> (and x658 ?v_244) (= tmp318 10)) (=> (and ?v_1329 ?v_1683) (= tmp317 0)) (=> (and ?v_1329 ?v_1684) (= tmp317 6)) (=> (and x623 ?v_1683) (= tmp317 8)) (=> (and x623 ?v_1684) (= tmp317 14)) (=> (and ?v_145 ?v_1685) (= tmp316 0)) (=> (and ?v_145 ?v_1686) (= tmp316 8)) (=> (and x660 ?v_1685) (= tmp316 6)) (=> (and x660 ?v_1686) (= tmp316 14)) (=> (and ?v_1393 ?v_1687) (= tmp315 0)) (=> (and ?v_1393 ?v_1689) ?v_1688) (=> (and x621 ?v_1687) ?v_1688) (=> (and x621 ?v_1689) (= tmp315 12)) (=> (and ?v_81 ?v_1690) (= tmp314 0)) (=> (and ?v_81 ?v_1692) ?v_1691) (=> (and x662 ?v_1690) ?v_1691) (=> (and x662 ?v_1692) (= tmp314 12)) (=> (and ?v_1457 ?v_1693) (= tmp313 0)) (=> (and ?v_1457 ?v_1694) (= tmp313 6)) (=> (and x619 ?v_1693) (= tmp313 8)) (=> (and x619 ?v_1694) (= tmp313 14)) (=> (and ?v_17 ?v_1695) (= tmp312 0)) (=> (and ?v_17 ?v_1697) ?v_1696) (=> (and x664 ?v_1695) ?v_1696) (=> (and x664 ?v_1697) (= tmp312 12)) (=> (and ?v_1698 ?v_1699) (= tmp311 0)) (=> (and ?v_1698 ?v_1701) ?v_1700) (=> (and x617 ?v_1699) ?v_1700) (=> (and x617 ?v_1701) (= tmp311 16)) (=> ?v_754 (= tmp310 0)) (=> ?v_756 (= tmp310 1)) (=> (and ?v_809 ?v_786) (= tmp309 0)) (=> (and ?v_809 ?v_788) (= tmp309 2)) (=> (and x592 ?v_786) (= tmp309 4)) (=> (and x592 ?v_788) (= tmp309 6)) (=> (and ?v_1702 ?v_722) (= tmp308 0)) (=> (and ?v_1702 ?v_724) (= tmp308 1)) (=> (and x596 ?v_722) (= tmp308 4)) (=> (and x596 ?v_724) (= tmp308 5)) (=> (and ?v_1703 ?v_850) (= tmp307 0)) (=> (and ?v_1703 ?v_852) ?v_1704) (=> (and x590 ?v_850) ?v_1704) (=> (and x590 ?v_852) (= tmp307 8)) (=> (and ?v_1705 ?v_1706) (= tmp306 0)) (=> (and ?v_1705 ?v_1708) ?v_1707) (=> (and x598 ?v_1706) ?v_1707) (=> (and x598 ?v_1708) (= tmp306 8)) (=> (and ?v_1709 ?v_914) (= tmp305 0)) (=> (and ?v_1709 ?v_916) (= tmp305 2)) (=> (and x588 ?v_914) (= tmp305 4)) (=> (and x588 ?v_916) (= tmp305 6)) (=> (and ?v_1710 ?v_594) (= tmp304 0)) (=> (and ?v_1710 ?v_596) ?v_1711) (=> (and x600 ?v_594) ?v_1711) (=> (and x600 ?v_596) (= tmp304 8)) (=> (and ?v_1001 ?v_978) (= tmp303 0)) (=> (and ?v_1001 ?v_980) ?v_1712) (=> (and x586 ?v_978) ?v_1712) (=> (and x586 ?v_980) (= tmp303 12)) (=> (and ?v_1713 ?v_530) (= tmp302 0)) (=> (and ?v_1713 ?v_532) ?v_1714) (=> (and x602 ?v_530) ?v_1714) (=> (and x602 ?v_532) (= tmp302 12)) (=> (and ?v_1715 ?v_1042) (= tmp301 0)) (=> (and ?v_1715 ?v_1044) (= tmp301 6)) (=> (and x584 ?v_1042) (= tmp301 8)) (=> (and x584 ?v_1044) (= tmp301 14)) (=> (and ?v_1716 ?v_466) (= tmp300 0)) (=> (and ?v_1716 ?v_468) ?v_1717) (=> (and x604 ?v_466) ?v_1717) (=> (and x604 ?v_468) (= tmp300 12)) (=> (and ?v_1129 ?v_1106) (= tmp299 0)) (=> (and ?v_1129 ?v_1108) ?v_1718) (=> (and x582 ?v_1106) ?v_1718) (=> (and x582 ?v_1108) (= tmp299 16)) (=> (and ?v_1719 ?v_402) (= tmp298 0)) (=> (and ?v_1719 ?v_404) ?v_1720) (=> (and x606 ?v_402) ?v_1720) (=> (and x606 ?v_404) (= tmp298 12)) (=> (and ?v_1193 ?v_1721) (= tmp297 0)) (=> (and ?v_1193 ?v_1723) ?v_1722) (=> (and x580 ?v_1721) ?v_1722) (=> (and x580 ?v_1723) (= tmp297 12)) (=> (and ?v_1724 ?v_338) (= tmp296 0)) (=> (and ?v_1724 ?v_340) (= tmp296 6)) (=> (and x608 ?v_338) (= tmp296 4)) (=> (and x608 ?v_340) (= tmp296 10)) (=> (and ?v_1725 ?v_1726) (= tmp295 0)) (=> (and ?v_1725 ?v_1728) ?v_1727) (=> (and x578 ?v_1726) ?v_1727) (=> (and x578 ?v_1728) (= tmp295 12)) (=> (and ?v_1729 ?v_274) (= tmp294 0)) (=> (and ?v_1729 ?v_276) ?v_1730) (=> (and x610 ?v_274) ?v_1730) (=> (and x610 ?v_276) (= tmp294 4)) (=> (and ?v_1731 ?v_1298) (= tmp293 0)) (=> (and ?v_1731 ?v_1300) ?v_1732) (=> (and x576 ?v_1298) ?v_1732) (=> (and x576 ?v_1300) (= tmp293 12)) (=> (and ?v_1733 ?v_178) (= tmp292 0)) (=> (and ?v_1733 ?v_180) ?v_1734) (=> (and x612 ?v_178) ?v_1734) (=> (and x612 ?v_180) (= tmp292 8)) (=> (and ?v_1735 ?v_1362) (= tmp291 0)) (=> (and ?v_1735 ?v_1364) ?v_1736) (=> (and x574 ?v_1362) ?v_1736) (=> (and x574 ?v_1364) (= tmp291 12)) (=> (and ?v_1737 ?v_114) (= tmp290 0)) (=> (and ?v_1737 ?v_116) ?v_1738) (=> (and x614 ?v_114) ?v_1738) (=> (and x614 ?v_116) (= tmp290 8)) (=> (and ?v_1739 ?v_1426) (= tmp289 0)) (=> (and ?v_1739 ?v_1428) ?v_1740) (=> (and x572 ?v_1426) ?v_1740) (=> (and x572 ?v_1428) (= tmp289 8)) (=> (and ?v_1741 ?v_50) (= tmp288 0)) (=> (and ?v_1741 ?v_52) ?v_1742) (=> (and x616 ?v_50) ?v_1742) (=> (and x616 ?v_52) (= tmp288 8)) (=> (and ?v_1513 ?v_1490) (= tmp287 0)) (=> (and ?v_1513 ?v_1492) ?v_1743) (=> (and x570 ?v_1490) ?v_1743) (=> (and x570 ?v_1492) (= tmp287 12)) (=> (and ?v_713 ?v_1744) (= tmp286 0)) (=> (and ?v_713 ?v_1746) ?v_1745) (=> (and x547 ?v_1744) ?v_1745) (=> (and x547 ?v_1746) (= tmp286 12)) (=> (and ?v_1747 ?v_1748) (= tmp285 0)) (=> (and ?v_1747 ?v_1749) (= tmp285 6)) (=> (and x544 ?v_1748) (= tmp285 4)) (=> (and x544 ?v_1749) (= tmp285 10)) (=> (and ?v_1750 ?v_1751) (= tmp284 0)) (=> (and ?v_1750 ?v_1753) ?v_1752) (=> (and x549 ?v_1751) ?v_1752) (=> (and x549 ?v_1753) (= tmp284 12)) (=> (and ?v_873 ?v_1754) (= tmp283 0)) (=> (and ?v_873 ?v_1756) ?v_1755) (=> (and x542 ?v_1754) ?v_1755) (=> (and x542 ?v_1756) (= tmp283 8)) (=> (and ?v_585 ?v_1757) (= tmp282 0)) (=> (and ?v_585 ?v_1759) ?v_1758) (=> (and x551 ?v_1757) ?v_1758) (=> (and x551 ?v_1759) (= tmp282 12)) (=> (and ?v_937 ?v_1760) (= tmp281 0)) (=> (and ?v_937 ?v_1762) ?v_1761) (=> (and x540 ?v_1760) ?v_1761) (=> (and x540 ?v_1762) (= tmp281 4)) (=> (and ?v_521 ?v_1763) (= tmp280 0)) (=> (and ?v_521 ?v_1765) ?v_1764) (=> (and x553 ?v_1763) ?v_1764) (=> (and x553 ?v_1765) (= tmp280 16)) (=> (and ?v_1766 ?v_1767) (= tmp279 0)) (=> (and ?v_1766 ?v_1769) ?v_1768) (=> (and x538 ?v_1767) ?v_1768) (=> (and x538 ?v_1769) (= tmp279 4)) (=> (and ?v_457 ?v_1770) (= tmp278 0)) (=> (and ?v_457 ?v_1771) (= tmp278 8)) (=> (and x555 ?v_1770) (= tmp278 6)) (=> (and x555 ?v_1771) (= tmp278 14)) (=> (and ?v_1065 ?v_1772) (= tmp277 0)) (=> (and ?v_1065 ?v_1774) ?v_1773) (=> (and x536 ?v_1772) ?v_1773) (=> (and x536 ?v_1774) (= tmp277 4)) (=> (and ?v_393 ?v_1775) (= tmp276 0)) (=> (and ?v_393 ?v_1777) ?v_1776) (=> (and x557 ?v_1775) ?v_1776) (=> (and x557 ?v_1777) (= tmp276 12)) (=> (and ?v_1778 ?v_1779) (= tmp275 0)) (=> (and ?v_1778 ?v_1781) ?v_1780) (=> (and x534 ?v_1779) ?v_1780) (=> (and x534 ?v_1781) (= tmp275 4)) (=> (and ?v_329 ?v_1782) (= tmp274 0)) (=> (and ?v_329 ?v_1784) ?v_1783) (=> (and x559 ?v_1782) ?v_1783) (=> (and x559 ?v_1784) (= tmp274 12)) (=> (and ?v_1785 ?v_1162) (= tmp273 0)) (=> (and ?v_1785 ?v_1164) ?v_1786) (=> (and x532 ?v_1162) ?v_1786) (=> (and x532 ?v_1164) (= tmp273 8)) (=> (and ?v_265 ?v_1787) (= tmp272 0)) (=> (and ?v_265 ?v_1789) ?v_1788) (=> (and x561 ?v_1787) ?v_1788) (=> (and x561 ?v_1789) (= tmp272 12)) (=> (and ?v_1257 ?v_1226) (= tmp271 0)) (=> (and ?v_1257 ?v_1228) ?v_1790) (=> (and x530 ?v_1226) ?v_1790) (=> (and x530 ?v_1228) (= tmp271 12)) (=> (and ?v_201 ?v_1791) (= tmp270 0)) (=> (and ?v_201 ?v_1793) ?v_1792) (=> (and x563 ?v_1791) ?v_1792) (=> (and x563 ?v_1793) (= tmp270 16)) (=> (and ?v_1321 ?v_1794) (= tmp269 0)) (=> (and ?v_1321 ?v_1795) (= tmp269 6)) (=> (and x528 ?v_1794) (= tmp269 8)) (=> (and x528 ?v_1795) (= tmp269 14)) (=> (and ?v_137 ?v_1796) (= tmp268 0)) (=> (and ?v_137 ?v_1798) ?v_1797) (=> (and x565 ?v_1796) ?v_1797) (=> (and x565 ?v_1798) (= tmp268 12)) (=> (and ?v_1385 ?v_1799) (= tmp267 0)) (=> (and ?v_1385 ?v_1801) ?v_1800) (=> (and x526 ?v_1799) ?v_1800) (=> (and x526 ?v_1801) (= tmp267 16)) (=> (and ?v_73 ?v_1802) (= tmp266 0)) (=> (and ?v_73 ?v_1804) ?v_1803) (=> (and x567 ?v_1802) ?v_1803) (=> (and x567 ?v_1804) (= tmp266 12)) (=> (and ?v_1449 ?v_1805) (= tmp265 0)) (=> (and ?v_1449 ?v_1807) ?v_1806) (=> (and x524 ?v_1805) ?v_1806) (=> (and x524 ?v_1807) (= tmp265 16)) (=> (and ?v_9 ?v_1808) (= tmp264 0)) (=> (and ?v_9 ?v_1809) (= tmp264 4)) (=> (and x569 ?v_1808) (= tmp264 6)) (=> (and x569 ?v_1809) (= tmp264 10)) (=> (and ?v_1810 ?v_1811) (= tmp263 0)) (=> (and ?v_1810 ?v_1813) ?v_1812) (=> (and x522 ?v_1811) ?v_1812) (=> (and x522 ?v_1813) (= tmp263 12)) (=> ?v_714 (= tmp262 0)) (=> ?v_716 (= tmp262 2)) (=> (and ?v_1814 ?v_746) (= tmp261 0)) (=> (and ?v_1814 ?v_748) (= tmp261 2)) (=> (and x497 ?v_746) (= tmp261 4)) (=> (and x497 ?v_748) (= tmp261 6)) (=> (and ?v_641 ?v_682) (= tmp260 0)) (=> (and ?v_641 ?v_684) ?v_1815) (=> (and x501 ?v_682) ?v_1815) (=> (and x501 ?v_684) (= tmp260 8)) (=> (and ?v_1816 ?v_1817) (= tmp259 0)) (=> (and ?v_1816 ?v_1818) (= tmp259 4)) (=> (and x495 ?v_1817) (= tmp259 2)) (=> (and x495 ?v_1818) (= tmp259 6)) (=> (and ?v_1819 ?v_618) (= tmp258 0)) (=> (and ?v_1819 ?v_620) ?v_1820) (=> (and x503 ?v_618) ?v_1820) (=> (and x503 ?v_620) (= tmp258 8)) (=> (and ?v_1821 ?v_874) (= tmp257 0)) (=> (and ?v_1821 ?v_876) (= tmp257 2)) (=> (and x493 ?v_874) (= tmp257 4)) (=> (and x493 ?v_876) (= tmp257 6)) (=> (and ?v_1822 ?v_554) (= tmp256 0)) (=> (and ?v_1822 ?v_556) ?v_1823) (=> (and x505 ?v_554) ?v_1823) (=> (and x505 ?v_556) (= tmp256 4)) (=> (and ?v_1824 ?v_938) (= tmp255 0)) (=> (and ?v_1824 ?v_940) ?v_1825) (=> (and x491 ?v_938) ?v_1825) (=> (and x491 ?v_940) (= tmp255 12)) (=> (and ?v_1826 ?v_490) (= tmp254 0)) (=> (and ?v_1826 ?v_492) (= tmp254 4)) (=> (and x507 ?v_490) (= tmp254 6)) (=> (and x507 ?v_492) (= tmp254 10)) (=> (and ?v_1827 ?v_1828) (= tmp253 0)) (=> (and ?v_1827 ?v_1830) ?v_1829) (=> (and x489 ?v_1828) ?v_1829) (=> (and x489 ?v_1830) (= tmp253 12)) (=> (and ?v_1831 ?v_426) (= tmp252 0)) (=> (and ?v_1831 ?v_428) ?v_1832) (=> (and x509 ?v_426) ?v_1832) (=> (and x509 ?v_428) (= tmp252 8)) (=> (and ?v_1833 ?v_1066) (= tmp251 0)) (=> (and ?v_1833 ?v_1068) ?v_1834) (=> (and x487 ?v_1066) ?v_1834) (=> (and x487 ?v_1068) (= tmp251 12)) (=> (and ?v_1835 ?v_362) (= tmp250 0)) (=> (and ?v_1835 ?v_364) ?v_1836) (=> (and x511 ?v_362) ?v_1836) (=> (and x511 ?v_364) (= tmp250 12)) (=> (and ?v_1153 ?v_1837) (= tmp249 0)) (=> (and ?v_1153 ?v_1838) (= tmp249 6)) (=> (and x485 ?v_1837) (= tmp249 4)) (=> (and x485 ?v_1838) (= tmp249 10)) (=> (and ?v_1839 ?v_298) (= tmp248 0)) (=> (and ?v_1839 ?v_300) ?v_1840) (=> (and x513 ?v_298) ?v_1840) (=> (and x513 ?v_300) (= tmp248 12)) (=> (and ?v_1217 ?v_1841) (= tmp247 0)) (=> (and ?v_1217 ?v_1842) (= tmp247 4)) (=> (and x483 ?v_1841) (= tmp247 2)) (=> (and x483 ?v_1842) (= tmp247 6)) (=> (and ?v_1843 ?v_234) (= tmp246 0)) (=> (and ?v_1843 ?v_236) (= tmp246 4)) (=> (and x515 ?v_234) (= tmp246 6)) (=> (and x515 ?v_236) (= tmp246 10)) (=> (and ?v_1844 ?v_1258) (= tmp245 0)) (=> (and ?v_1844 ?v_1260) (= tmp245 2)) (=> (and x481 ?v_1258) (= tmp245 4)) (=> (and x481 ?v_1260) (= tmp245 6)) (=> (and ?v_1845 ?v_170) (= tmp244 0)) (=> (and ?v_1845 ?v_172) ?v_1846) (=> (and x517 ?v_170) ?v_1846) (=> (and x517 ?v_172) (= tmp244 12)) (=> (and ?v_1847 ?v_1322) (= tmp243 0)) (=> (and ?v_1847 ?v_1324) (= tmp243 4)) (=> (and x479 ?v_1322) (= tmp243 2)) (=> (and x479 ?v_1324) (= tmp243 6)) (=> (and ?v_1848 ?v_106) (= tmp242 0)) (=> (and ?v_1848 ?v_108) (= tmp242 6)) (=> (and x519 ?v_106) (= tmp242 8)) (=> (and x519 ?v_108) (= tmp242 14)) (=> (and ?v_1849 ?v_1386) (= tmp241 0)) (=> (and ?v_1849 ?v_1388) (= tmp241 4)) (=> (and x477 ?v_1386) (= tmp241 6)) (=> (and x477 ?v_1388) (= tmp241 10)) (=> (and ?v_1850 ?v_42) (= tmp240 0)) (=> (and ?v_1850 ?v_44) ?v_1851) (=> (and x521 ?v_42) ?v_1851) (=> (and x521 ?v_44) (= tmp240 16)) (=> (and ?v_1852 ?v_1450) (= tmp239 0)) (=> (and ?v_1852 ?v_1452) (= tmp239 6)) (=> (and x475 ?v_1450) (= tmp239 4)) (=> (and x475 ?v_1452) (= tmp239 10)) (=> (and ?v_737 true) (= tmp238 0)) (=> (and x452 true) (= tmp238 4)) (=> (and ?v_1853 ?v_1854) (= tmp237 0)) (=> (and ?v_1853 ?v_1856) ?v_1855) (=> (and x450 ?v_1854) ?v_1855) (=> (and x450 ?v_1856) (= tmp237 8)) (=> (and ?v_673 ?v_1857) (= tmp236 0)) (=> (and ?v_673 ?v_1858) (= tmp236 2)) (=> (and x454 ?v_1857) (= tmp236 1)) (=> (and x454 ?v_1858) (= tmp236 3)) (=> (and ?v_865 ?v_1859) (= tmp235 0)) (=> (and ?v_865 ?v_1861) ?v_1860) (=> (and x448 ?v_1859) ?v_1860) (=> (and x448 ?v_1861) (= tmp235 8)) (=> (and ?v_577 ?v_1862) (= tmp234 0)) (=> (and ?v_577 ?v_1863) (= tmp234 2)) (=> (and x456 ?v_1862) (= tmp234 4)) (=> (and x456 ?v_1863) (= tmp234 6)) (=> (and ?v_929 ?v_1864) (= tmp233 0)) (=> (and ?v_929 ?v_1866) ?v_1865) (=> (and x446 ?v_1864) ?v_1865) (=> (and x446 ?v_1866) (= tmp233 8)) (=> (and ?v_513 ?v_1867) (= tmp232 0)) (=> (and ?v_513 ?v_1868) (= tmp232 4)) (=> (and x458 ?v_1867) (= tmp232 6)) (=> (and x458 ?v_1868) (= tmp232 10)) (=> (and ?v_1869 ?v_1870) (= tmp231 0)) (=> (and ?v_1869 ?v_1872) ?v_1871) (=> (and x444 ?v_1870) ?v_1871) (=> (and x444 ?v_1872) (= tmp231 8)) (=> (and ?v_449 ?v_1873) (= tmp230 0)) (=> (and ?v_449 ?v_1875) ?v_1874) (=> (and x460 ?v_1873) ?v_1874) (=> (and x460 ?v_1875) (= tmp230 12)) (=> (and ?v_1057 ?v_1876) (= tmp229 0)) (=> (and ?v_1057 ?v_1878) ?v_1877) (=> (and x442 ?v_1876) ?v_1877) (=> (and x442 ?v_1878) (= tmp229 4)) (=> (and ?v_385 ?v_1879) (= tmp228 0)) (=> (and ?v_385 ?v_1880) (= tmp228 6)) (=> (and x462 ?v_1879) (= tmp228 8)) (=> (and x462 ?v_1880) (= tmp228 14)) (=> (and ?v_1881 ?v_1882) (= tmp227 0)) (=> (and ?v_1881 ?v_1884) ?v_1883) (=> (and x440 ?v_1882) ?v_1883) (=> (and x440 ?v_1884) (= tmp227 4)) (=> (and ?v_321 ?v_1885) (= tmp226 0)) (=> (and ?v_321 ?v_1887) ?v_1886) (=> (and x464 ?v_1885) ?v_1886) (=> (and x464 ?v_1887) (= tmp226 16)) (=> (and ?v_1888 ?v_1154) (= tmp225 0)) (=> (and ?v_1888 ?v_1156) ?v_1889) (=> (and x438 ?v_1154) ?v_1889) (=> (and x438 ?v_1156) (= tmp225 8)) (=> (and ?v_257 ?v_1890) (= tmp224 0)) (=> (and ?v_257 ?v_1892) ?v_1891) (=> (and x466 ?v_1890) ?v_1891) (=> (and x466 ?v_1892) (= tmp224 16)) (=> (and ?v_1249 ?v_1218) (= tmp223 0)) (=> (and ?v_1249 ?v_1220) ?v_1893) (=> (and x436 ?v_1218) ?v_1893) (=> (and x436 ?v_1220) (= tmp223 8)) (=> (and ?v_193 ?v_1894) (= tmp222 0)) (=> (and ?v_193 ?v_1896) ?v_1895) (=> (and x468 ?v_1894) ?v_1895) (=> (and x468 ?v_1896) (= tmp222 16)) (=> (and ?v_1313 ?v_1897) (= tmp221 0)) (=> (and ?v_1313 ?v_1899) ?v_1898) (=> (and x434 ?v_1897) ?v_1898) (=> (and x434 ?v_1899) (= tmp221 8)) (=> (and ?v_129 ?v_1900) (= tmp220 0)) (=> (and ?v_129 ?v_1902) ?v_1901) (=> (and x470 ?v_1900) ?v_1901) (=> (and x470 ?v_1902) (= tmp220 12)) (=> (and ?v_1377 ?v_1903) (= tmp219 0)) (=> (and ?v_1377 ?v_1905) ?v_1904) (=> (and x432 ?v_1903) ?v_1904) (=> (and x432 ?v_1905) (= tmp219 8)) (=> (and ?v_65 ?v_1906) (= tmp218 0)) (=> (and ?v_65 ?v_1908) ?v_1907) (=> (and x472 ?v_1906) ?v_1907) (=> (and x472 ?v_1908) (= tmp218 12)) (=> (and ?v_1441 ?v_1909) (= tmp217 0)) (=> (and ?v_1441 ?v_1910) (= tmp217 4)) (=> (and x430 ?v_1909) (= tmp217 2)) (=> (and x430 ?v_1910) (= tmp217 6)) (=> (and ?v_1 ?v_1911) (= tmp216 0)) (=> (and ?v_1 ?v_1912) (= tmp216 6)) (=> (and x474 ?v_1911) (= tmp216 4)) (=> (and x474 ?v_1912) (= tmp216 10)) (=> (and ?v_1505 ?v_1913) (= tmp215 0)) (=> (and ?v_1505 ?v_1914) (= tmp215 2)) (=> (and x428 ?v_1913) (= tmp215 1)) (=> (and x428 ?v_1914) (= tmp215 3)) (=> (and ?v_1915 ?v_738) (= tmp214 0)) (=> (and ?v_1915 ?v_740) (= tmp214 6)) (=> (and x405 ?v_738) (= tmp214 4)) (=> (and x405 ?v_740) (= tmp214 10)) (=> (and ?v_1916 ?v_770) (= tmp213 0)) (=> (and ?v_1916 ?v_772) ?v_1917) (=> (and x402 ?v_770) ?v_1917) (=> (and x402 ?v_772) (= tmp213 12)) (=> (and ?v_1918 ?v_674) (= tmp212 0)) (=> (and ?v_1918 ?v_676) (= tmp212 4)) (=> (and x407 ?v_674) (= tmp212 2)) (=> (and x407 ?v_676) (= tmp212 6)) (=> (and ?v_1919 ?v_834) (= tmp211 0)) (=> (and ?v_1919 ?v_836) (= tmp211 6)) (=> (and x400 ?v_834) (= tmp211 4)) (=> (and x400 ?v_836) (= tmp211 10)) (=> (and ?v_1920 ?v_610) (= tmp210 0)) (=> (and ?v_1920 ?v_612) (= tmp210 2)) (=> (and x409 ?v_610) (= tmp210 4)) (=> (and x409 ?v_612) (= tmp210 6)) (=> (and ?v_1921 ?v_898) (= tmp209 0)) (=> (and ?v_1921 ?v_900) ?v_1922) (=> (and x398 ?v_898) ?v_1922) (=> (and x398 ?v_900) (= tmp209 8)) (=> (and ?v_1923 ?v_546) (= tmp208 0)) (=> (and ?v_1923 ?v_548) ?v_1924) (=> (and x411 ?v_546) ?v_1924) (=> (and x411 ?v_548) (= tmp208 8)) (=> (and ?v_1925 ?v_962) (= tmp207 0)) (=> (and ?v_1925 ?v_964) ?v_1926) (=> (and x396 ?v_962) ?v_1926) (=> (and x396 ?v_964) (= tmp207 8)) (=> (and ?v_1927 ?v_482) (= tmp206 0)) (=> (and ?v_1927 ?v_484) ?v_1928) (=> (and x413 ?v_482) ?v_1928) (=> (and x413 ?v_484) (= tmp206 8)) (=> (and ?v_1929 ?v_1026) (= tmp205 0)) (=> (and ?v_1929 ?v_1028) ?v_1930) (=> (and x394 ?v_1026) ?v_1930) (=> (and x394 ?v_1028) (= tmp205 8)) (=> (and ?v_1931 ?v_418) (= tmp204 0)) (=> (and ?v_1931 ?v_420) ?v_1932) (=> (and x415 ?v_418) ?v_1932) (=> (and x415 ?v_420) (= tmp204 8)) (=> (and ?v_1933 ?v_1090) (= tmp203 0)) (=> (and ?v_1933 ?v_1092) ?v_1934) (=> (and x392 ?v_1090) ?v_1934) (=> (and x392 ?v_1092) (= tmp203 4)) (=> (and ?v_1935 ?v_354) (= tmp202 0)) (=> (and ?v_1935 ?v_356) (= tmp202 4)) (=> (and x417 ?v_354) (= tmp202 6)) (=> (and x417 ?v_356) (= tmp202 10)) (=> (and ?v_1936 ?v_1158) (= tmp201 0)) (=> (and ?v_1936 ?v_1160) ?v_1937) (=> (and x390 ?v_1158) ?v_1937) (=> (and x390 ?v_1160) (= tmp201 4)) (=> (and ?v_1938 ?v_290) (= tmp200 0)) (=> (and ?v_1938 ?v_292) ?v_1939) (=> (and x419 ?v_290) ?v_1939) (=> (and x419 ?v_292) (= tmp200 12)) (=> (and ?v_1940 ?v_1222) (= tmp199 0)) (=> (and ?v_1940 ?v_1224) ?v_1941) (=> (and x388 ?v_1222) ?v_1941) (=> (and x388 ?v_1224) (= tmp199 4)) (=> (and ?v_1942 ?v_226) (= tmp198 0)) (=> (and ?v_1942 ?v_228) ?v_1943) (=> (and x421 ?v_226) ?v_1943) (=> (and x421 ?v_228) (= tmp198 12)) (=> (and ?v_1944 ?v_1282) (= tmp197 0)) (=> (and ?v_1944 ?v_1284) ?v_1945) (=> (and x386 ?v_1282) ?v_1945) (=> (and x386 ?v_1284) (= tmp197 8)) (=> (and ?v_1946 ?v_162) (= tmp196 0)) (=> (and ?v_1946 ?v_164) (= tmp196 6)) (=> (and x423 ?v_162) (= tmp196 4)) (=> (and x423 ?v_164) (= tmp196 10)) (=> (and ?v_1947 ?v_1346) (= tmp195 0)) (=> (and ?v_1947 ?v_1348) ?v_1948) (=> (and x384 ?v_1346) ?v_1948) (=> (and x384 ?v_1348) (= tmp195 12)) (=> (and ?v_1949 ?v_98) (= tmp194 0)) (=> (and ?v_1949 ?v_100) ?v_1950) (=> (and x425 ?v_98) ?v_1950) (=> (and x425 ?v_100) (= tmp194 8)) (=> (and ?v_1951 ?v_1410) (= tmp193 0)) (=> (and ?v_1951 ?v_1412) ?v_1952) (=> (and x382 ?v_1410) ?v_1952) (=> (and x382 ?v_1412) (= tmp193 12)) (=> (and ?v_1953 ?v_34) (= tmp192 0)) (=> (and ?v_1953 ?v_36) ?v_1954) (=> (and x427 ?v_34) ?v_1954) (=> (and x427 ?v_36) (= tmp192 8)) (=> (and ?v_1955 ?v_1474) (= tmp191 0)) (=> (and ?v_1955 ?v_1476) ?v_1956) (=> (and x380 ?v_1474) ?v_1956) (=> (and x380 ?v_1476) (= tmp191 8)) (=> (and ?v_1957 ?v_742) (= tmp190 0)) (=> (and ?v_1957 ?v_744) ?v_1958) (=> (and x357 ?v_742) ?v_1958) (=> (and x357 ?v_744) (= tmp190 4)) (=> (and ?v_805 ?v_774) (= tmp189 0)) (=> (and ?v_805 ?v_776) ?v_1959) (=> (and x354 ?v_774) ?v_1959) (=> (and x354 ?v_776) (= tmp189 4)) (=> (and ?v_1960 ?v_678) (= tmp188 0)) (=> (and ?v_1960 ?v_680) ?v_1961) (=> (and x359 ?v_678) ?v_1961) (=> (and x359 ?v_680) (= tmp188 8)) (=> (and ?v_1962 ?v_838) (= tmp187 0)) (=> (and ?v_1962 ?v_840) ?v_1963) (=> (and x352 ?v_838) ?v_1963) (=> (and x352 ?v_840) (= tmp187 8)) (=> (and ?v_1964 ?v_614) (= tmp186 0)) (=> (and ?v_1964 ?v_616) ?v_1965) (=> (and x361 ?v_614) ?v_1965) (=> (and x361 ?v_616) (= tmp186 8)) (=> (and ?v_1966 ?v_902) (= tmp185 0)) (=> (and ?v_1966 ?v_904) ?v_1967) (=> (and x350 ?v_902) ?v_1967) (=> (and x350 ?v_904) (= tmp185 12)) (=> (and ?v_1968 ?v_550) (= tmp184 0)) (=> (and ?v_1968 ?v_552) ?v_1969) (=> (and x363 ?v_550) ?v_1969) (=> (and x363 ?v_552) (= tmp184 8)) (=> (and ?v_997 ?v_966) (= tmp183 0)) (=> (and ?v_997 ?v_968) ?v_1970) (=> (and x348 ?v_966) ?v_1970) (=> (and x348 ?v_968) (= tmp183 12)) (=> (and ?v_1971 ?v_486) (= tmp182 0)) (=> (and ?v_1971 ?v_488) (= tmp182 4)) (=> (and x365 ?v_486) (= tmp182 6)) (=> (and x365 ?v_488) (= tmp182 10)) (=> (and ?v_1972 ?v_1030) (= tmp181 0)) (=> (and ?v_1972 ?v_1032) ?v_1973) (=> (and x346 ?v_1030) ?v_1973) (=> (and x346 ?v_1032) (= tmp181 16)) (=> (and ?v_1974 ?v_422) (= tmp180 0)) (=> (and ?v_1974 ?v_424) ?v_1975) (=> (and x367 ?v_422) ?v_1975) (=> (and x367 ?v_424) (= tmp180 12)) (=> (and ?v_1125 ?v_1094) (= tmp179 0)) (=> (and ?v_1125 ?v_1096) ?v_1976) (=> (and x344 ?v_1094) ?v_1976) (=> (and x344 ?v_1096) (= tmp179 16)) (=> (and ?v_1977 ?v_358) (= tmp178 0)) (=> (and ?v_1977 ?v_360) ?v_1978) (=> (and x369 ?v_358) ?v_1978) (=> (and x369 ?v_360) (= tmp178 12)) (=> (and ?v_1189 ?v_1979) (= tmp177 0)) (=> (and ?v_1189 ?v_1980) (= tmp177 8)) (=> (and x342 ?v_1979) (= tmp177 6)) (=> (and x342 ?v_1980) (= tmp177 14)) (=> (and ?v_1981 ?v_294) (= tmp176 0)) (=> (and ?v_1981 ?v_296) ?v_1982) (=> (and x371 ?v_294) ?v_1982) (=> (and x371 ?v_296) (= tmp176 12)) (=> (and ?v_1983 ?v_1984) (= tmp175 0)) (=> (and ?v_1983 ?v_1986) ?v_1985) (=> (and x340 ?v_1984) ?v_1985) (=> (and x340 ?v_1986) (= tmp175 12)) (=> (and ?v_1987 ?v_230) (= tmp174 0)) (=> (and ?v_1987 ?v_232) ?v_1988) (=> (and x373 ?v_230) ?v_1988) (=> (and x373 ?v_232) (= tmp174 12)) (=> (and ?v_1989 ?v_1286) (= tmp173 0)) (=> (and ?v_1989 ?v_1288) (= tmp173 6)) (=> (and x338 ?v_1286) (= tmp173 4)) (=> (and x338 ?v_1288) (= tmp173 10)) (=> (and ?v_1990 ?v_166) (= tmp172 0)) (=> (and ?v_1990 ?v_168) (= tmp172 6)) (=> (and x375 ?v_166) (= tmp172 4)) (=> (and x375 ?v_168) (= tmp172 10)) (=> (and ?v_1991 ?v_1350) (= tmp171 0)) (=> (and ?v_1991 ?v_1352) (= tmp171 4)) (=> (and x336 ?v_1350) (= tmp171 2)) (=> (and x336 ?v_1352) (= tmp171 6)) (=> (and ?v_1992 ?v_102) (= tmp170 0)) (=> (and ?v_1992 ?v_104) (= tmp170 4)) (=> (and x377 ?v_102) (= tmp170 2)) (=> (and x377 ?v_104) (= tmp170 6)) (=> (and ?v_1993 ?v_1414) (= tmp169 0)) (=> (and ?v_1993 ?v_1416) ?v_1994) (=> (and x334 ?v_1414) ?v_1994) (=> (and x334 ?v_1416) (= tmp169 8)) (=> (and ?v_1995 ?v_38) (= tmp168 0)) (=> (and ?v_1995 ?v_40) ?v_1996) (=> (and x379 ?v_38) ?v_1996) (=> (and x379 ?v_40) (= tmp168 2)) (=> (and ?v_1997 ?v_1478) (= tmp167 0)) (=> (and ?v_1997 ?v_1480) ?v_1998) (=> (and x332 ?v_1478) ?v_1998) (=> (and x332 ?v_1480) (= tmp167 8)) (=> (and ?v_709 ?v_1999) (= tmp166 0)) (=> (and ?v_709 ?v_2001) ?v_2000) (=> (and x309 ?v_1999) ?v_2000) (=> (and x309 ?v_2001) (= tmp166 8)) (=> (and ?v_2002 ?v_2003) (= tmp165 0)) (=> (and ?v_2002 ?v_2005) ?v_2004) (=> (and x306 ?v_2003) ?v_2004) (=> (and x306 ?v_2005) (= tmp165 8)) (=> (and ?v_645 ?v_2006) (= tmp164 0)) (=> (and ?v_645 ?v_2008) ?v_2007) (=> (and x311 ?v_2006) ?v_2007) (=> (and x311 ?v_2008) (= tmp164 8)) (=> (and ?v_869 ?v_2009) (= tmp163 0)) (=> (and ?v_869 ?v_2010) (= tmp163 4)) (=> (and x304 ?v_2009) (= tmp163 6)) (=> (and x304 ?v_2010) (= tmp163 10)) (=> (and ?v_581 ?v_2011) (= tmp162 0)) (=> (and ?v_581 ?v_2013) ?v_2012) (=> (and x313 ?v_2011) ?v_2012) (=> (and x313 ?v_2013) (= tmp162 8)) (=> (and ?v_933 ?v_2014) (= tmp161 0)) (=> (and ?v_933 ?v_2016) ?v_2015) (=> (and x302 ?v_2014) ?v_2015) (=> (and x302 ?v_2016) (= tmp161 12)) (=> (and ?v_517 ?v_2017) (= tmp160 0)) (=> (and ?v_517 ?v_2019) ?v_2018) (=> (and x315 ?v_2017) ?v_2018) (=> (and x315 ?v_2019) (= tmp160 12)) (=> (and ?v_2020 ?v_2021) (= tmp159 0)) (=> (and ?v_2020 ?v_2023) ?v_2022) (=> (and x300 ?v_2021) ?v_2022) (=> (and x300 ?v_2023) (= tmp159 12)) (=> (and ?v_453 ?v_2024) (= tmp158 0)) (=> (and ?v_453 ?v_2026) ?v_2025) (=> (and x317 ?v_2024) ?v_2025) (=> (and x317 ?v_2026) (= tmp158 12)) (=> (and ?v_1061 ?v_2027) (= tmp157 0)) (=> (and ?v_1061 ?v_2028) (= tmp157 6)) (=> (and x298 ?v_2027) (= tmp157 4)) (=> (and x298 ?v_2028) (= tmp157 10)) (=> (and ?v_389 ?v_2029) (= tmp156 0)) (=> (and ?v_389 ?v_2031) ?v_2030) (=> (and x319 ?v_2029) ?v_2030) (=> (and x319 ?v_2031) (= tmp156 12)) (=> (and ?v_2032 ?v_2033) (= tmp155 0)) (=> (and ?v_2032 ?v_2035) ?v_2034) (=> (and x296 ?v_2033) ?v_2034) (=> (and x296 ?v_2035) (= tmp155 8)) (=> (and ?v_325 ?v_2036) (= tmp154 0)) (=> (and ?v_325 ?v_2038) ?v_2037) (=> (and x321 ?v_2036) ?v_2037) (=> (and x321 ?v_2038) (= tmp154 12)) (=> (and ?v_2039 ?v_1166) (= tmp153 0)) (=> (and ?v_2039 ?v_1168) ?v_2040) (=> (and x294 ?v_1166) ?v_2040) (=> (and x294 ?v_1168) (= tmp153 8)) (=> (and ?v_261 ?v_2041) (= tmp152 0)) (=> (and ?v_261 ?v_2043) ?v_2042) (=> (and x323 ?v_2041) ?v_2042) (=> (and x323 ?v_2043) (= tmp152 8)) (=> (and ?v_1253 ?v_1230) (= tmp151 0)) (=> (and ?v_1253 ?v_1232) (= tmp151 6)) (=> (and x292 ?v_1230) (= tmp151 4)) (=> (and x292 ?v_1232) (= tmp151 10)) (=> (and ?v_197 ?v_2044) (= tmp150 0)) (=> (and ?v_197 ?v_2046) ?v_2045) (=> (and x325 ?v_2044) ?v_2045) (=> (and x325 ?v_2046) (= tmp150 8)) (=> (and ?v_1317 ?v_2047) (= tmp149 0)) (=> (and ?v_1317 ?v_2048) (= tmp149 4)) (=> (and x290 ?v_2047) (= tmp149 2)) (=> (and x290 ?v_2048) (= tmp149 6)) (=> (and ?v_133 ?v_2049) (= tmp148 0)) (=> (and ?v_133 ?v_2051) ?v_2050) (=> (and x327 ?v_2049) ?v_2050) (=> (and x327 ?v_2051) (= tmp148 8)) (=> (and ?v_1381 ?v_2052) (= tmp147 0)) (=> (and ?v_1381 ?v_2053) (= tmp147 1)) (=> (and x288 ?v_2052) (= tmp147 2)) (=> (and x288 ?v_2053) (= tmp147 3)) (=> (and ?v_69 ?v_2054) (= tmp146 0)) (=> (and ?v_69 ?v_2056) ?v_2055) (=> (and x329 ?v_2054) ?v_2055) (=> (and x329 ?v_2056) (= tmp146 8)) (=> (and ?v_1445 ?v_2057) (= tmp145 0)) (=> (and ?v_1445 ?v_2059) ?v_2058) (=> (and x286 ?v_2057) ?v_2058) (=> (and x286 ?v_2059) (= tmp145 8)) (=> (and ?v_5 ?v_2060) (= tmp144 0)) (=> (and ?v_5 ?v_2062) ?v_2061) (=> (and x331 ?v_2060) ?v_2061) (=> (and x331 ?v_2062) (= tmp144 8)) (=> (and ?v_1509 ?v_2063) (= tmp143 0)) (=> (and ?v_1509 ?v_2065) ?v_2064) (=> (and x284 ?v_2063) ?v_2064) (=> (and x284 ?v_2065) (= tmp143 8)) (=> (and ?v_2066 ?v_686) (= tmp142 0)) (=> (and ?v_2066 ?v_688) ?v_2067) (=> (and x263 ?v_686) ?v_2067) (=> (and x263 ?v_688) (= tmp142 4)) (=> (and ?v_2068 ?v_718) (= tmp141 0)) (=> (and ?v_2068 ?v_720) ?v_2069) (=> (and x260 ?v_718) ?v_2069) (=> (and x260 ?v_720) (= tmp141 2)) (=> (and ?v_2070 ?v_622) (= tmp140 0)) (=> (and ?v_2070 ?v_624) ?v_2071) (=> (and x265 ?v_622) ?v_2071) (=> (and x265 ?v_624) (= tmp140 8)) (=> (and ?v_813 ?v_782) (= tmp139 0)) (=> (and ?v_813 ?v_784) ?v_2072) (=> (and x258 ?v_782) ?v_2072) (=> (and x258 ?v_784) (= tmp139 4)) (=> (and ?v_2073 ?v_558) (= tmp138 0)) (=> (and ?v_2073 ?v_560) ?v_2074) (=> (and x267 ?v_558) ?v_2074) (=> (and x267 ?v_560) (= tmp138 8)) (=> (and ?v_2075 ?v_846) (= tmp137 0)) (=> (and ?v_2075 ?v_848) ?v_2076) (=> (and x256 ?v_846) ?v_2076) (=> (and x256 ?v_848) (= tmp137 4)) (=> (and ?v_2077 ?v_494) (= tmp136 0)) (=> (and ?v_2077 ?v_496) ?v_2078) (=> (and x269 ?v_494) ?v_2078) (=> (and x269 ?v_496) (= tmp136 8)) (=> (and ?v_2079 ?v_910) (= tmp135 0)) (=> (and ?v_2079 ?v_912) ?v_2080) (=> (and x254 ?v_910) ?v_2080) (=> (and x254 ?v_912) (= tmp135 4)) (=> (and ?v_2081 ?v_430) (= tmp134 0)) (=> (and ?v_2081 ?v_432) ?v_2082) (=> (and x271 ?v_430) ?v_2082) (=> (and x271 ?v_432) (= tmp134 8)) (=> (and ?v_1005 ?v_974) (= tmp133 0)) (=> (and ?v_1005 ?v_976) ?v_2083) (=> (and x252 ?v_974) ?v_2083) (=> (and x252 ?v_976) (= tmp133 4)) (=> (and ?v_2084 ?v_366) (= tmp132 0)) (=> (and ?v_2084 ?v_368) ?v_2085) (=> (and x273 ?v_366) ?v_2085) (=> (and x273 ?v_368) (= tmp132 8)) (=> (and ?v_2086 ?v_1038) (= tmp131 0)) (=> (and ?v_2086 ?v_1040) ?v_2087) (=> (and x250 ?v_1038) ?v_2087) (=> (and x250 ?v_1040) (= tmp131 2)) (=> (and ?v_2088 ?v_302) (= tmp130 0)) (=> (and ?v_2088 ?v_304) ?v_2089) (=> (and x275 ?v_302) ?v_2089) (=> (and x275 ?v_304) (= tmp130 4)) (=> (and ?v_2090 ?v_1102) (= tmp129 0)) (=> (and ?v_2090 ?v_1104) ?v_2091) (=> (and x248 ?v_1102) ?v_2091) (=> (and x248 ?v_1104) (= tmp129 2)) (=> (and ?v_2092 ?v_238) (= tmp128 0)) (=> (and ?v_2092 ?v_240) (= tmp128 2)) (=> (and x277 ?v_238) (= tmp128 4)) (=> (and x277 ?v_240) (= tmp128 6)) (=> (and ?v_2093 ?v_1294) (= tmp127 0)) (=> (and ?v_2093 ?v_1296) ?v_2094) (=> (and x246 ?v_1294) ?v_2094) (=> (and x246 ?v_1296) (= tmp127 2)) (=> (and ?v_2095 ?v_174) (= tmp126 0)) (=> (and ?v_2095 ?v_176) ?v_2096) (=> (and x279 ?v_174) ?v_2096) (=> (and x279 ?v_176) (= tmp126 8)) (=> (and ?v_2097 ?v_1358) (= tmp125 0)) (=> (and ?v_2097 ?v_1360) (= tmp125 1)) (=> (and x244 ?v_1358) (= tmp125 2)) (=> (and x244 ?v_1360) (= tmp125 3)) (=> (and ?v_2098 ?v_110) (= tmp124 0)) (=> (and ?v_2098 ?v_112) ?v_2099) (=> (and x281 ?v_110) ?v_2099) (=> (and x281 ?v_112) (= tmp124 12)) (=> (and ?v_2100 ?v_1422) (= tmp123 0)) (=> (and ?v_2100 ?v_1424) ?v_2101) (=> (and x242 ?v_1422) ?v_2101) (=> (and x242 ?v_1424) (= tmp123 4)) (=> (and ?v_2102 ?v_46) (= tmp122 0)) (=> (and ?v_2102 ?v_48) ?v_2103) (=> (and x283 ?v_46) ?v_2103) (=> (and x283 ?v_48) (= tmp122 12)) (=> (and ?v_2104 ?v_1486) (= tmp121 0)) (=> (and ?v_2104 ?v_1488) ?v_2105) (=> (and x240 ?v_1486) ?v_2105) (=> (and x240 ?v_1488) (= tmp121 4)) (=> (and ?v_717 ?v_2106) (= tmp120 0)) (=> (and ?v_717 ?v_2107) (= tmp120 2)) (=> (and x217 ?v_2106) (= tmp120 1)) (=> (and x217 ?v_2107) (= tmp120 3)) (=> (and ?v_2108 ?v_2109) (= tmp119 0)) (=> (and ?v_2108 ?v_2111) ?v_2110) (=> (and x214 ?v_2109) ?v_2110) (=> (and x214 ?v_2111) (= tmp119 4)) (=> (and ?v_653 ?v_2112) (= tmp118 0)) (=> (and ?v_653 ?v_2113) (= tmp118 1)) (=> (and x219 ?v_2112) (= tmp118 2)) (=> (and x219 ?v_2113) (= tmp118 3)) (=> (and ?v_877 ?v_2114) (= tmp117 0)) (=> (and ?v_877 ?v_2116) ?v_2115) (=> (and x212 ?v_2114) ?v_2115) (=> (and x212 ?v_2116) (= tmp117 8)) (=> (and ?v_589 ?v_2117) (= tmp116 0)) (=> (and ?v_589 ?v_2119) ?v_2118) (=> (and x221 ?v_2117) ?v_2118) (=> (and x221 ?v_2119) (= tmp116 4)) (=> (and ?v_941 ?v_2120) (= tmp115 0)) (=> (and ?v_941 ?v_2121) (= tmp115 4)) (=> (and x210 ?v_2120) (= tmp115 6)) (=> (and x210 ?v_2121) (= tmp115 10)) (=> (and ?v_525 ?v_2122) (= tmp114 0)) (=> (and ?v_525 ?v_2124) ?v_2123) (=> (and x223 ?v_2122) ?v_2123) (=> (and x223 ?v_2124) (= tmp114 4)) (=> (and ?v_2125 ?v_2126) (= tmp113 0)) (=> (and ?v_2125 ?v_2128) ?v_2127) (=> (and x208 ?v_2126) ?v_2127) (=> (and x208 ?v_2128) (= tmp113 12)) (=> (and ?v_461 ?v_2129) (= tmp112 0)) (=> (and ?v_461 ?v_2131) ?v_2130) (=> (and x225 ?v_2129) ?v_2130) (=> (and x225 ?v_2131) (= tmp112 4)) (=> (and ?v_1069 ?v_2132) (= tmp111 0)) (=> (and ?v_1069 ?v_2134) ?v_2133) (=> (and x206 ?v_2132) ?v_2133) (=> (and x206 ?v_2134) (= tmp111 12)) (=> (and ?v_397 ?v_2135) (= tmp110 0)) (=> (and ?v_397 ?v_2137) ?v_2136) (=> (and x227 ?v_2135) ?v_2136) (=> (and x227 ?v_2137) (= tmp110 4)) (=> (and ?v_1133 ?v_2138) (= tmp109 0)) (=> (and ?v_1133 ?v_2140) ?v_2139) (=> (and x204 ?v_2138) ?v_2139) (=> (and x204 ?v_2140) (= tmp109 12)) (=> (and ?v_333 ?v_2141) (= tmp108 0)) (=> (and ?v_333 ?v_2143) ?v_2142) (=> (and x229 ?v_2141) ?v_2142) (=> (and x229 ?v_2143) (= tmp108 4)) (=> (and ?v_1197 ?v_2144) (= tmp107 0)) (=> (and ?v_1197 ?v_2146) ?v_2145) (=> (and x202 ?v_2144) ?v_2145) (=> (and x202 ?v_2146) (= tmp107 12)) (=> (and ?v_269 ?v_2147) (= tmp106 0)) (=> (and ?v_269 ?v_2149) ?v_2148) (=> (and x231 ?v_2147) ?v_2148) (=> (and x231 ?v_2149) (= tmp106 4)) (=> (and ?v_1261 ?v_2150) (= tmp105 0)) (=> (and ?v_1261 ?v_2152) ?v_2151) (=> (and x200 ?v_2150) ?v_2151) (=> (and x200 ?v_2152) (= tmp105 8)) (=> (and ?v_205 ?v_2153) (= tmp104 0)) (=> (and ?v_205 ?v_2155) ?v_2154) (=> (and x233 ?v_2153) ?v_2154) (=> (and x233 ?v_2155) (= tmp104 4)) (=> (and ?v_1325 ?v_2156) (= tmp103 0)) (=> (and ?v_1325 ?v_2158) ?v_2157) (=> (and x198 ?v_2156) ?v_2157) (=> (and x198 ?v_2158) (= tmp103 8)) (=> (and ?v_141 ?v_2159) (= tmp102 0)) (=> (and ?v_141 ?v_2161) ?v_2160) (=> (and x235 ?v_2159) ?v_2160) (=> (and x235 ?v_2161) (= tmp102 4)) (=> (and ?v_1389 ?v_2162) (= tmp101 0)) (=> (and ?v_1389 ?v_2164) ?v_2163) (=> (and x196 ?v_2162) ?v_2163) (=> (and x196 ?v_2164) (= tmp101 8)) (=> (and ?v_77 ?v_2165) (= tmp100 0)) (=> (and ?v_77 ?v_2167) ?v_2166) (=> (and x237 ?v_2165) ?v_2166) (=> (and x237 ?v_2167) (= tmp100 4)) (=> (and ?v_1453 ?v_2168) (= tmp99 0)) (=> (and ?v_1453 ?v_2170) ?v_2169) (=> (and x194 ?v_2168) ?v_2169) (=> (and x194 ?v_2170) (= tmp99 12)) (=> (and ?v_13 ?v_2171) (= tmp98 0)) (=> (and ?v_13 ?v_2173) ?v_2172) (=> (and x239 ?v_2171) ?v_2172) (=> (and x239 ?v_2173) (= tmp98 4)) (=> (and ?v_1517 ?v_2174) (= tmp97 0)) (=> (and ?v_1517 ?v_2176) ?v_2175) (=> (and x192 ?v_2174) ?v_2175) (=> (and x192 ?v_2176) (= tmp97 12)) (=> (and ?v_2177 ?v_758) (= tmp96 0)) (=> (and ?v_2177 ?v_760) ?v_2178) (=> (and x169 ?v_758) ?v_2178) (=> (and x169 ?v_760) (= tmp96 8)) (=> (and ?v_821 ?v_790) (= tmp95 0)) (=> (and ?v_821 ?v_792) ?v_2179) (=> (and x166 ?v_790) ?v_2179) (=> (and x166 ?v_792) (= tmp95 8)) (=> (and ?v_2180 ?v_694) (= tmp94 0)) (=> (and ?v_2180 ?v_696) (= tmp94 6)) (=> (and x171 ?v_694) (= tmp94 4)) (=> (and x171 ?v_696) (= tmp94 10)) (=> (and ?v_2181 ?v_854) (= tmp93 0)) (=> (and ?v_2181 ?v_856) ?v_2182) (=> (and x164 ?v_854) ?v_2182) (=> (and x164 ?v_856) (= tmp93 12)) (=> (and ?v_2183 ?v_630) (= tmp92 0)) (=> (and ?v_2183 ?v_632) ?v_2184) (=> (and x173 ?v_630) ?v_2184) (=> (and x173 ?v_632) (= tmp92 8)) (=> (and ?v_2185 ?v_918) (= tmp91 0)) (=> (and ?v_2185 ?v_920) ?v_2186) (=> (and x162 ?v_918) ?v_2186) (=> (and x162 ?v_920) (= tmp91 12)) (=> (and ?v_2187 ?v_566) (= tmp90 0)) (=> (and ?v_2187 ?v_568) ?v_2188) (=> (and x175 ?v_566) ?v_2188) (=> (and x175 ?v_568) (= tmp90 4)) (=> (and ?v_1013 ?v_982) (= tmp89 0)) (=> (and ?v_1013 ?v_984) ?v_2189) (=> (and x160 ?v_982) ?v_2189) (=> (and x160 ?v_984) (= tmp89 12)) (=> (and ?v_2190 ?v_502) (= tmp88 0)) (=> (and ?v_2190 ?v_504) (= tmp88 4)) (=> (and x177 ?v_502) (= tmp88 6)) (=> (and x177 ?v_504) (= tmp88 10)) (=> (and ?v_2191 ?v_1046) (= tmp87 0)) (=> (and ?v_2191 ?v_1048) ?v_2192) (=> (and x158 ?v_1046) ?v_2192) (=> (and x158 ?v_1048) (= tmp87 12)) (=> (and ?v_2193 ?v_438) (= tmp86 0)) (=> (and ?v_2193 ?v_440) ?v_2194) (=> (and x179 ?v_438) ?v_2194) (=> (and x179 ?v_440) (= tmp86 12)) (=> (and ?v_2195 ?v_1110) (= tmp85 0)) (=> (and ?v_2195 ?v_1112) (= tmp85 6)) (=> (and x156 ?v_1110) (= tmp85 4)) (=> (and x156 ?v_1112) (= tmp85 10)) (=> (and ?v_2196 ?v_374) (= tmp84 0)) (=> (and ?v_2196 ?v_376) ?v_2197) (=> (and x181 ?v_374) ?v_2197) (=> (and x181 ?v_376) (= tmp84 12)) (=> (and ?v_2198 ?v_1174) (= tmp83 0)) (=> (and ?v_2198 ?v_1176) ?v_2199) (=> (and x154 ?v_1174) ?v_2199) (=> (and x154 ?v_1176) (= tmp83 8)) (=> (and ?v_2200 ?v_310) (= tmp82 0)) (=> (and ?v_2200 ?v_312) ?v_2201) (=> (and x183 ?v_310) ?v_2201) (=> (and x183 ?v_312) (= tmp82 8)) (=> (and ?v_2202 ?v_1238) (= tmp81 0)) (=> (and ?v_2202 ?v_1240) ?v_2203) (=> (and x152 ?v_1238) ?v_2203) (=> (and x152 ?v_1240) (= tmp81 8)) (=> (and ?v_2204 ?v_246) (= tmp80 0)) (=> (and ?v_2204 ?v_248) ?v_2205) (=> (and x185 ?v_246) ?v_2205) (=> (and x185 ?v_248) (= tmp80 12)) (=> (and ?v_2206 ?v_1302) (= tmp79 0)) (=> (and ?v_2206 ?v_1304) (= tmp79 2)) (=> (and x150 ?v_1302) (= tmp79 1)) (=> (and x150 ?v_1304) (= tmp79 3)) (=> (and ?v_2207 ?v_182) (= tmp78 0)) (=> (and ?v_2207 ?v_184) ?v_2208) (=> (and x187 ?v_182) ?v_2208) (=> (and x187 ?v_184) (= tmp78 12)) (=> (and ?v_2209 ?v_1366) (= tmp77 0)) (=> (and ?v_2209 ?v_1368) (= tmp77 2)) (=> (and x148 ?v_1366) (= tmp77 4)) (=> (and x148 ?v_1368) (= tmp77 6)) (=> (and ?v_2210 ?v_118) (= tmp76 0)) (=> (and ?v_2210 ?v_120) (= tmp76 4)) (=> (and x189 ?v_118) (= tmp76 2)) (=> (and x189 ?v_120) (= tmp76 6)) (=> (and ?v_2211 ?v_1430) (= tmp75 0)) (=> (and ?v_2211 ?v_1432) ?v_2212) (=> (and x146 ?v_1430) ?v_2212) (=> (and x146 ?v_1432) (= tmp75 8)) (=> (and ?v_2213 ?v_54) (= tmp74 0)) (=> (and ?v_2213 ?v_56) ?v_2214) (=> (and x191 ?v_54) ?v_2214) (=> (and x191 ?v_56) (= tmp74 4)) (=> (and ?v_2215 ?v_1494) (= tmp73 0)) (=> (and ?v_2215 ?v_1496) ?v_2216) (=> (and x144 ?v_1494) ?v_2216) (=> (and x144 ?v_1496) (= tmp73 8)) (=> (and ?v_725 true) (= tmp72 0)) (=> (and x121 true) (= tmp72 4)) (=> (and ?v_789 ?v_2217) (= tmp71 0)) (=> (and ?v_789 ?v_2218) (= tmp71 2)) (=> (and x119 ?v_2217) (= tmp71 1)) (=> (and x119 ?v_2218) (= tmp71 3)) (=> (and ?v_661 ?v_2219) (= tmp70 0)) (=> (and ?v_661 ?v_2220) (= tmp70 4)) (=> (and x123 ?v_2219) (= tmp70 6)) (=> (and x123 ?v_2220) (= tmp70 10)) (=> (and ?v_885 ?v_2221) (= tmp69 0)) (=> (and ?v_885 ?v_2222) (= tmp69 1)) (=> (and x117 ?v_2221) (= tmp69 2)) (=> (and x117 ?v_2222) (= tmp69 3)) (=> (and ?v_597 ?v_2223) (= tmp68 0)) (=> (and ?v_597 ?v_2225) ?v_2224) (=> (and x125 ?v_2223) ?v_2224) (=> (and x125 ?v_2225) (= tmp68 12)) (=> (and ?v_949 ?v_2226) (= tmp67 0)) (=> (and ?v_949 ?v_2227) (= tmp67 2)) (=> (and x115 ?v_2226) (= tmp67 4)) (=> (and x115 ?v_2227) (= tmp67 6)) (=> (and ?v_533 ?v_2228) (= tmp66 0)) (=> (and ?v_533 ?v_2230) ?v_2229) (=> (and x127 ?v_2228) ?v_2229) (=> (and x127 ?v_2230) (= tmp66 8)) (=> (and ?v_2231 ?v_2232) (= tmp65 0)) (=> (and ?v_2231 ?v_2234) ?v_2233) (=> (and x113 ?v_2232) ?v_2233) (=> (and x113 ?v_2234) (= tmp65 8)) (=> (and ?v_469 ?v_2235) (= tmp64 0)) (=> (and ?v_469 ?v_2237) ?v_2236) (=> (and x129 ?v_2235) ?v_2236) (=> (and x129 ?v_2237) (= tmp64 4)) (=> (and ?v_1077 ?v_2238) (= tmp63 0)) (=> (and ?v_1077 ?v_2240) ?v_2239) (=> (and x111 ?v_2238) ?v_2239) (=> (and x111 ?v_2240) (= tmp63 8)) (=> (and ?v_405 ?v_2241) (= tmp62 0)) (=> (and ?v_405 ?v_2242) (= tmp62 2)) (=> (and x131 ?v_2241) (= tmp62 4)) (=> (and x131 ?v_2242) (= tmp62 6)) (=> (and ?v_1141 ?v_2243) (= tmp61 0)) (=> (and ?v_1141 ?v_2245) ?v_2244) (=> (and x109 ?v_2243) ?v_2244) (=> (and x109 ?v_2245) (= tmp61 8)) (=> (and ?v_341 ?v_2246) (= tmp60 0)) (=> (and ?v_341 ?v_2247) (= tmp60 4)) (=> (and x133 ?v_2246) (= tmp60 2)) (=> (and x133 ?v_2247) (= tmp60 6)) (=> (and ?v_1205 ?v_2248) (= tmp59 0)) (=> (and ?v_1205 ?v_2249) (= tmp59 4)) (=> (and x107 ?v_2248) (= tmp59 6)) (=> (and x107 ?v_2249) (= tmp59 10)) (=> (and ?v_277 ?v_2250) (= tmp58 0)) (=> (and ?v_277 ?v_2251) (= tmp58 2)) (=> (and x135 ?v_2250) (= tmp58 4)) (=> (and x135 ?v_2251) (= tmp58 6)) (=> (and ?v_1269 ?v_2252) (= tmp57 0)) (=> (and ?v_1269 ?v_2254) ?v_2253) (=> (and x105 ?v_2252) ?v_2253) (=> (and x105 ?v_2254) (= tmp57 12)) (=> (and ?v_213 ?v_2255) (= tmp56 0)) (=> (and ?v_213 ?v_2257) ?v_2256) (=> (and x137 ?v_2255) ?v_2256) (=> (and x137 ?v_2257) (= tmp56 8)) (=> (and ?v_1333 ?v_2258) (= tmp55 0)) (=> (and ?v_1333 ?v_2260) ?v_2259) (=> (and x103 ?v_2258) ?v_2259) (=> (and x103 ?v_2260) (= tmp55 12)) (=> (and ?v_149 ?v_2261) (= tmp54 0)) (=> (and ?v_149 ?v_2262) (= tmp54 4)) (=> (and x139 ?v_2261) (= tmp54 1)) (=> (and x139 ?v_2262) (= tmp54 5)) (=> (and ?v_1397 ?v_2263) (= tmp53 0)) (=> (and ?v_1397 ?v_2265) ?v_2264) (=> (and x101 ?v_2263) ?v_2264) (=> (and x101 ?v_2265) (= tmp53 12)) (=> (and ?v_85 ?v_2266) (= tmp52 0)) (=> (and ?v_85 ?v_2267) (= tmp52 2)) (=> (and x141 ?v_2266) (= tmp52 4)) (=> (and x141 ?v_2267) (= tmp52 6)) (=> (and ?v_1461 ?v_2268) (= tmp51 0)) (=> (and ?v_1461 ?v_2270) ?v_2269) (=> (and x99 ?v_2268) ?v_2269) (=> (and x99 ?v_2270) (= tmp51 8)) (=> (and ?v_21 ?v_2271) (= tmp50 0)) (=> (and ?v_21 ?v_2273) ?v_2272) (=> (and x143 ?v_2271) ?v_2272) (=> (and x143 ?v_2273) (= tmp50 12)) (=> (and ?v_1525 ?v_2274) (= tmp49 0)) (=> (and ?v_1525 ?v_2275) (= tmp49 4)) (=> (and x97 ?v_2274) (= tmp49 2)) (=> (and x97 ?v_2275) (= tmp49 6)) (=> (and ?v_2276 ?v_766) (= tmp48 0)) (=> (and ?v_2276 ?v_768) (= tmp48 2)) (=> (and x74 ?v_766) (= tmp48 4)) (=> (and x74 ?v_768) (= tmp48 6)) (=> (and ?v_2277 ?v_798) (= tmp47 0)) (=> (and ?v_2277 ?v_800) (= tmp47 1)) (=> (and x71 ?v_798) (= tmp47 2)) (=> (and x71 ?v_800) (= tmp47 3)) (=> (and ?v_2278 ?v_702) (= tmp46 0)) (=> (and ?v_2278 ?v_704) ?v_2279) (=> (and x76 ?v_702) ?v_2279) (=> (and x76 ?v_704) (= tmp46 4)) (=> (and ?v_2280 ?v_862) (= tmp45 0)) (=> (and ?v_2280 ?v_864) ?v_2281) (=> (and x69 ?v_862) ?v_2281) (=> (and x69 ?v_864) (= tmp45 8)) (=> (and ?v_2282 ?v_638) (= tmp44 0)) (=> (and ?v_2282 ?v_640) ?v_2283) (=> (and x78 ?v_638) ?v_2283) (=> (and x78 ?v_640) (= tmp44 8)) (=> (and ?v_2284 ?v_926) (= tmp43 0)) (=> (and ?v_2284 ?v_928) ?v_2285) (=> (and x67 ?v_926) ?v_2285) (=> (and x67 ?v_928) (= tmp43 8)) (=> (and ?v_2286 ?v_574) (= tmp42 0)) (=> (and ?v_2286 ?v_576) (= tmp42 4)) (=> (and x80 ?v_574) (= tmp42 2)) (=> (and x80 ?v_576) (= tmp42 6)) (=> (and ?v_1021 ?v_990) (= tmp41 0)) (=> (and ?v_1021 ?v_992) (= tmp41 4)) (=> (and x65 ?v_990) (= tmp41 6)) (=> (and x65 ?v_992) (= tmp41 10)) (=> (and ?v_2287 ?v_510) (= tmp40 0)) (=> (and ?v_2287 ?v_512) (= tmp40 2)) (=> (and x82 ?v_510) (= tmp40 4)) (=> (and x82 ?v_512) (= tmp40 6)) (=> (and ?v_2288 ?v_1054) (= tmp39 0)) (=> (and ?v_2288 ?v_1056) (= tmp39 6)) (=> (and x63 ?v_1054) (= tmp39 4)) (=> (and x63 ?v_1056) (= tmp39 10)) (=> (and ?v_2289 ?v_446) (= tmp38 0)) (=> (and ?v_2289 ?v_448) ?v_2290) (=> (and x84 ?v_446) ?v_2290) (=> (and x84 ?v_448) (= tmp38 8)) (=> (and ?v_2291 ?v_1118) (= tmp37 0)) (=> (and ?v_2291 ?v_1120) ?v_2292) (=> (and x61 ?v_1118) ?v_2292) (=> (and x61 ?v_1120) (= tmp37 4)) (=> (and ?v_2293 ?v_382) (= tmp36 0)) (=> (and ?v_2293 ?v_384) ?v_2294) (=> (and x86 ?v_382) ?v_2294) (=> (and x86 ?v_384) (= tmp36 8)) (=> (and ?v_2295 ?v_1182) (= tmp35 0)) (=> (and ?v_2295 ?v_1184) ?v_2296) (=> (and x59 ?v_1182) ?v_2296) (=> (and x59 ?v_1184) (= tmp35 8)) (=> (and ?v_2297 ?v_318) (= tmp34 0)) (=> (and ?v_2297 ?v_320) ?v_2298) (=> (and x88 ?v_318) ?v_2298) (=> (and x88 ?v_320) (= tmp34 8)) (=> (and ?v_2299 ?v_1246) (= tmp33 0)) (=> (and ?v_2299 ?v_1248) (= tmp33 4)) (=> (and x57 ?v_1246) (= tmp33 2)) (=> (and x57 ?v_1248) (= tmp33 6)) (=> (and ?v_2300 ?v_254) (= tmp32 0)) (=> (and ?v_2300 ?v_256) ?v_2301) (=> (and x90 ?v_254) ?v_2301) (=> (and x90 ?v_256) (= tmp32 8)) (=> (and ?v_2302 ?v_1310) (= tmp31 0)) (=> (and ?v_2302 ?v_1312) ?v_2303) (=> (and x55 ?v_1310) ?v_2303) (=> (and x55 ?v_1312) (= tmp31 8)) (=> (and ?v_2304 ?v_190) (= tmp30 0)) (=> (and ?v_2304 ?v_192) (= tmp30 4)) (=> (and x92 ?v_190) (= tmp30 2)) (=> (and x92 ?v_192) (= tmp30 6)) (=> (and ?v_2305 ?v_1374) (= tmp29 0)) (=> (and ?v_2305 ?v_1376) ?v_2306) (=> (and x53 ?v_1374) ?v_2306) (=> (and x53 ?v_1376) (= tmp29 8)) (=> (and ?v_2307 ?v_126) (= tmp28 0)) (=> (and ?v_2307 ?v_128) (= tmp28 2)) (=> (and x94 ?v_126) (= tmp28 4)) (=> (and x94 ?v_128) (= tmp28 6)) (=> (and ?v_2308 ?v_1438) (= tmp27 0)) (=> (and ?v_2308 ?v_1440) (= tmp27 4)) (=> (and x51 ?v_1438) (= tmp27 2)) (=> (and x51 ?v_1440) (= tmp27 6)) (=> (and ?v_2309 ?v_62) (= tmp26 0)) (=> (and ?v_2309 ?v_64) ?v_2310) (=> (and x96 ?v_62) ?v_2310) (=> (and x96 ?v_64) (= tmp26 8)) (=> (and ?v_2311 ?v_1502) (= tmp25 0)) (=> (and ?v_2311 ?v_1504) ?v_2312) (=> (and x49 ?v_1502) ?v_2312) (=> (and x49 ?v_1504) (= tmp25 4)) (=> (and ?v_733 true) (= tmp24 0)) (=> (and x26 true) (= tmp24 4)) (=> (and ?v_797 ?v_2313) (= tmp23 0)) (=> (and ?v_797 ?v_2314) (= tmp23 4)) (=> (and x24 ?v_2313) (= tmp23 2)) (=> (and x24 ?v_2314) (= tmp23 6)) (=> (and ?v_669 ?v_2315) (= tmp22 0)) (=> (and ?v_669 ?v_2317) ?v_2316) (=> (and x28 ?v_2315) ?v_2316) (=> (and x28 ?v_2317) (= tmp22 8)) (=> (and ?v_861 ?v_2318) (= tmp21 0)) (=> (and ?v_861 ?v_2320) ?v_2319) (=> (and x22 ?v_2318) ?v_2319) (=> (and x22 ?v_2320) (= tmp21 4)) (=> (and ?v_605 ?v_2321) (= tmp20 0)) (=> (and ?v_605 ?v_2322) (= tmp20 4)) (=> (and x30 ?v_2321) (= tmp20 2)) (=> (and x30 ?v_2322) (= tmp20 6)) (=> (and ?v_925 ?v_2323) (= tmp19 0)) (=> (and ?v_925 ?v_2325) ?v_2324) (=> (and x20 ?v_2323) ?v_2324) (=> (and x20 ?v_2325) (= tmp19 4)) (=> (and ?v_541 ?v_2326) (= tmp18 0)) (=> (and ?v_541 ?v_2328) ?v_2327) (=> (and x32 ?v_2326) ?v_2327) (=> (and x32 ?v_2328) (= tmp18 4)) (=> (and ?v_989 ?v_2329) (= tmp17 0)) (=> (and ?v_989 ?v_2330) (= tmp17 2)) (=> (and x18 ?v_2329) (= tmp17 1)) (=> (and x18 ?v_2330) (= tmp17 3)) (=> (and ?v_477 ?v_2331) (= tmp16 0)) (=> (and ?v_477 ?v_2333) ?v_2332) (=> (and x34 ?v_2331) ?v_2332) (=> (and x34 ?v_2333) (= tmp16 8)) (=> (and ?v_1085 ?v_2334) (= tmp15 0)) (=> (and ?v_1085 ?v_2335) (= tmp15 1)) (=> (and x16 ?v_2334) (= tmp15 2)) (=> (and x16 ?v_2335) (= tmp15 3)) (=> (and ?v_413 ?v_2336) (= tmp14 0)) (=> (and ?v_413 ?v_2338) ?v_2337) (=> (and x36 ?v_2336) ?v_2337) (=> (and x36 ?v_2338) (= tmp14 12)) (=> (and ?v_1149 ?v_2339) (= tmp13 0)) (=> (and ?v_1149 ?v_2341) ?v_2340) (=> (and x14 ?v_2339) ?v_2340) (=> (and x14 ?v_2341) (= tmp13 4)) (=> (and ?v_349 ?v_2342) (= tmp12 0)) (=> (and ?v_349 ?v_2344) ?v_2343) (=> (and x38 ?v_2342) ?v_2343) (=> (and x38 ?v_2344) (= tmp12 12)) (=> (and ?v_1213 ?v_2345) (= tmp11 0)) (=> (and ?v_1213 ?v_2347) ?v_2346) (=> (and x12 ?v_2345) ?v_2346) (=> (and x12 ?v_2347) (= tmp11 4)) (=> (and ?v_285 ?v_2348) (= tmp10 0)) (=> (and ?v_285 ?v_2349) (= tmp10 8)) (=> (and x40 ?v_2348) (= tmp10 6)) (=> (and x40 ?v_2349) (= tmp10 14)) (=> (and ?v_1277 ?v_2350) (= tmp9 0)) (=> (and ?v_1277 ?v_2352) ?v_2351) (=> (and x10 ?v_2350) ?v_2351) (=> (and x10 ?v_2352) (= tmp9 4)) (=> (and ?v_221 ?v_2353) (= tmp8 0)) (=> (and ?v_221 ?v_2355) ?v_2354) (=> (and x42 ?v_2353) ?v_2354) (=> (and x42 ?v_2355) (= tmp8 12)) (=> (and ?v_1341 ?v_2356) (= tmp7 0)) (=> (and ?v_1341 ?v_2357) (= tmp7 2)) (=> (and x8 ?v_2356) (= tmp7 4)) (=> (and x8 ?v_2357) (= tmp7 6)) (=> (and ?v_157 ?v_2358) (= tmp6 0)) (=> (and ?v_157 ?v_2359) (= tmp6 6)) (=> (and x44 ?v_2358) (= tmp6 4)) (=> (and x44 ?v_2359) (= tmp6 10)) (=> (and ?v_1405 ?v_2360) (= tmp5 0)) (=> (and ?v_1405 ?v_2362) ?v_2361) (=> (and x6 ?v_2360) ?v_2361) (=> (and x6 ?v_2362) (= tmp5 8)) (=> (and ?v_93 ?v_2363) (= tmp4 0)) (=> (and ?v_93 ?v_2365) ?v_2364) (=> (and x46 ?v_2363) ?v_2364) (=> (and x46 ?v_2365) (= tmp4 8)) (=> (and ?v_1469 ?v_2366) (= tmp3 0)) (=> (and ?v_1469 ?v_2368) ?v_2367) (=> (and x4 ?v_2366) ?v_2367) (=> (and x4 ?v_2368) (= tmp3 8)) (=> (and ?v_29 ?v_2369) (= tmp2 0)) (=> (and ?v_29 ?v_2371) ?v_2370) (=> (and x48 ?v_2369) ?v_2370) (=> (and x48 ?v_2371) (= tmp2 8)) (=> (and ?v_1533 ?v_2372) (= tmp1 0)) (=> (and ?v_1533 ?v_2374) ?v_2373) (=> (and x2 ?v_2372) ?v_2373) (=> (and x2 ?v_2374) (= tmp1 8))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))
+(check-sat)
+(exit)
diff --git a/test/regress/regress2/arith/miplib-pp08a-3000.smt2 b/test/regress/regress2/arith/miplib-pp08a-3000.smt2
new file mode 100644
index 000000000..3e34badc2
--- /dev/null
+++ b/test/regress/regress2/arith/miplib-pp08a-3000.smt2
@@ -0,0 +1,329 @@
+; COMMAND-LINE: --enable-miplib-trick
+; EXPECT: unsat
+(set-logic QF_LRA)
+(set-info :source |
+Relaxation of the Mixed-Integer Programming
+optimization problem pp08a from the MIPLIB (http://miplib.zib.de/)
+by Enric Rodriguez-Carbonell (erodri@lsi.upc.edu)
+|)
+(set-info :smt-lib-version 2.0)
+(set-info :category "industrial")
+(set-info :status unsat)
+(declare-fun tmp75 () Real)
+(declare-fun tmp74 () Real)
+(declare-fun tmp73 () Real)
+(declare-fun tmp72 () Real)
+(declare-fun tmp71 () Real)
+(declare-fun tmp70 () Real)
+(declare-fun tmp69 () Real)
+(declare-fun tmp68 () Real)
+(declare-fun tmp67 () Real)
+(declare-fun tmp66 () Real)
+(declare-fun tmp65 () Real)
+(declare-fun tmp64 () Real)
+(declare-fun tmp63 () Real)
+(declare-fun tmp62 () Real)
+(declare-fun tmp61 () Real)
+(declare-fun tmp60 () Real)
+(declare-fun tmp59 () Real)
+(declare-fun tmp58 () Real)
+(declare-fun tmp57 () Real)
+(declare-fun tmp56 () Real)
+(declare-fun tmp55 () Real)
+(declare-fun tmp54 () Real)
+(declare-fun tmp53 () Real)
+(declare-fun tmp52 () Real)
+(declare-fun tmp51 () Real)
+(declare-fun tmp50 () Real)
+(declare-fun tmp49 () Real)
+(declare-fun tmp48 () Real)
+(declare-fun tmp47 () Real)
+(declare-fun tmp46 () Real)
+(declare-fun tmp45 () Real)
+(declare-fun tmp44 () Real)
+(declare-fun tmp43 () Real)
+(declare-fun tmp42 () Real)
+(declare-fun tmp41 () Real)
+(declare-fun tmp40 () Real)
+(declare-fun tmp39 () Real)
+(declare-fun tmp38 () Real)
+(declare-fun tmp37 () Real)
+(declare-fun tmp36 () Real)
+(declare-fun tmp35 () Real)
+(declare-fun tmp34 () Real)
+(declare-fun tmp33 () Real)
+(declare-fun tmp32 () Real)
+(declare-fun tmp31 () Real)
+(declare-fun tmp30 () Real)
+(declare-fun tmp29 () Real)
+(declare-fun tmp28 () Real)
+(declare-fun tmp27 () Real)
+(declare-fun tmp26 () Real)
+(declare-fun tmp25 () Real)
+(declare-fun tmp24 () Real)
+(declare-fun tmp23 () Real)
+(declare-fun tmp22 () Real)
+(declare-fun tmp21 () Real)
+(declare-fun tmp20 () Real)
+(declare-fun tmp19 () Real)
+(declare-fun tmp18 () Real)
+(declare-fun tmp17 () Real)
+(declare-fun tmp16 () Real)
+(declare-fun tmp15 () Real)
+(declare-fun tmp14 () Real)
+(declare-fun tmp13 () Real)
+(declare-fun tmp12 () Real)
+(declare-fun tmp11 () Real)
+(declare-fun tmp10 () Real)
+(declare-fun tmp9 () Real)
+(declare-fun tmp8 () Real)
+(declare-fun tmp7 () Real)
+(declare-fun tmp6 () Real)
+(declare-fun tmp5 () Real)
+(declare-fun tmp4 () Real)
+(declare-fun tmp3 () Real)
+(declare-fun tmp2 () Real)
+(declare-fun tmp1 () Real)
+(declare-fun x113 () Real)
+(declare-fun x114 () Real)
+(declare-fun x115 () Real)
+(declare-fun x116 () Real)
+(declare-fun x117 () Real)
+(declare-fun x118 () Real)
+(declare-fun x119 () Real)
+(declare-fun x120 () Real)
+(declare-fun x121 () Real)
+(declare-fun x122 () Real)
+(declare-fun x123 () Real)
+(declare-fun x124 () Real)
+(declare-fun x125 () Real)
+(declare-fun x126 () Real)
+(declare-fun x127 () Real)
+(declare-fun x128 () Real)
+(declare-fun x129 () Real)
+(declare-fun x130 () Real)
+(declare-fun x131 () Real)
+(declare-fun x132 () Real)
+(declare-fun x133 () Real)
+(declare-fun x134 () Real)
+(declare-fun x135 () Real)
+(declare-fun x136 () Real)
+(declare-fun x137 () Real)
+(declare-fun x138 () Real)
+(declare-fun x139 () Real)
+(declare-fun x140 () Real)
+(declare-fun x141 () Real)
+(declare-fun x142 () Real)
+(declare-fun x143 () Real)
+(declare-fun x144 () Real)
+(declare-fun x145 () Real)
+(declare-fun x146 () Real)
+(declare-fun x147 () Real)
+(declare-fun x148 () Real)
+(declare-fun x149 () Real)
+(declare-fun x150 () Real)
+(declare-fun x151 () Real)
+(declare-fun x152 () Real)
+(declare-fun x153 () Real)
+(declare-fun x154 () Real)
+(declare-fun x155 () Real)
+(declare-fun x156 () Real)
+(declare-fun x157 () Real)
+(declare-fun x158 () Real)
+(declare-fun x159 () Real)
+(declare-fun x160 () Real)
+(declare-fun x161 () Real)
+(declare-fun x162 () Real)
+(declare-fun x163 () Real)
+(declare-fun x164 () Real)
+(declare-fun x165 () Real)
+(declare-fun x166 () Real)
+(declare-fun x167 () Real)
+(declare-fun x168 () Real)
+(declare-fun x169 () Real)
+(declare-fun x170 () Real)
+(declare-fun x171 () Real)
+(declare-fun x172 () Real)
+(declare-fun x173 () Real)
+(declare-fun x174 () Real)
+(declare-fun x175 () Real)
+(declare-fun x176 () Real)
+(declare-fun x112 () Real)
+(declare-fun x111 () Real)
+(declare-fun x110 () Real)
+(declare-fun x109 () Real)
+(declare-fun x108 () Real)
+(declare-fun x107 () Real)
+(declare-fun x106 () Real)
+(declare-fun x105 () Real)
+(declare-fun x104 () Real)
+(declare-fun x103 () Real)
+(declare-fun x102 () Real)
+(declare-fun x101 () Real)
+(declare-fun x100 () Real)
+(declare-fun x99 () Real)
+(declare-fun x98 () Real)
+(declare-fun x97 () Real)
+(declare-fun x96 () Real)
+(declare-fun x95 () Real)
+(declare-fun x94 () Real)
+(declare-fun x93 () Real)
+(declare-fun x92 () Real)
+(declare-fun x91 () Real)
+(declare-fun x90 () Real)
+(declare-fun x89 () Real)
+(declare-fun x88 () Real)
+(declare-fun x87 () Real)
+(declare-fun x86 () Real)
+(declare-fun x85 () Real)
+(declare-fun x84 () Real)
+(declare-fun x83 () Real)
+(declare-fun x82 () Real)
+(declare-fun x81 () Real)
+(declare-fun x80 () Real)
+(declare-fun x79 () Real)
+(declare-fun x78 () Real)
+(declare-fun x77 () Real)
+(declare-fun x76 () Real)
+(declare-fun x75 () Real)
+(declare-fun x74 () Real)
+(declare-fun x73 () Real)
+(declare-fun x72 () Real)
+(declare-fun x71 () Real)
+(declare-fun x70 () Real)
+(declare-fun x69 () Real)
+(declare-fun x68 () Real)
+(declare-fun x67 () Real)
+(declare-fun x66 () Real)
+(declare-fun x65 () Real)
+(declare-fun x64 () Real)
+(declare-fun x63 () Real)
+(declare-fun x62 () Real)
+(declare-fun x61 () Real)
+(declare-fun x60 () Real)
+(declare-fun x59 () Real)
+(declare-fun x58 () Real)
+(declare-fun x57 () Real)
+(declare-fun x56 () Real)
+(declare-fun x55 () Real)
+(declare-fun x54 () Real)
+(declare-fun x53 () Real)
+(declare-fun x52 () Real)
+(declare-fun x51 () Real)
+(declare-fun x50 () Real)
+(declare-fun x49 () Real)
+(declare-fun x48 () Real)
+(declare-fun x47 () Real)
+(declare-fun x46 () Real)
+(declare-fun x45 () Real)
+(declare-fun x44 () Real)
+(declare-fun x43 () Real)
+(declare-fun x42 () Real)
+(declare-fun x41 () Real)
+(declare-fun x40 () Real)
+(declare-fun x39 () Real)
+(declare-fun x38 () Real)
+(declare-fun x37 () Real)
+(declare-fun x36 () Real)
+(declare-fun x35 () Real)
+(declare-fun x34 () Real)
+(declare-fun x33 () Real)
+(declare-fun x32 () Real)
+(declare-fun x31 () Real)
+(declare-fun x30 () Real)
+(declare-fun x29 () Real)
+(declare-fun x28 () Real)
+(declare-fun x27 () Real)
+(declare-fun x26 () Real)
+(declare-fun x25 () Real)
+(declare-fun x24 () Real)
+(declare-fun x23 () Real)
+(declare-fun x22 () Real)
+(declare-fun x21 () Real)
+(declare-fun x20 () Real)
+(declare-fun x19 () Real)
+(declare-fun x18 () Real)
+(declare-fun x17 () Real)
+(declare-fun x16 () Real)
+(declare-fun x15 () Real)
+(declare-fun x14 () Real)
+(declare-fun x13 () Real)
+(declare-fun x12 () Real)
+(declare-fun x11 () Real)
+(declare-fun x10 () Real)
+(declare-fun x9 () Real)
+(declare-fun x8 () Real)
+(declare-fun x7 () Real)
+(declare-fun x6 () Real)
+(declare-fun x5 () Real)
+(declare-fun x4 () Real)
+(declare-fun x3 () Real)
+(declare-fun x2 () Real)
+(declare-fun x1 () Real)
+(declare-fun x177 () Bool)
+(declare-fun x178 () Bool)
+(declare-fun x179 () Bool)
+(declare-fun x180 () Bool)
+(declare-fun x181 () Bool)
+(declare-fun x182 () Bool)
+(declare-fun x183 () Bool)
+(declare-fun x184 () Bool)
+(declare-fun x185 () Bool)
+(declare-fun x186 () Bool)
+(declare-fun x187 () Bool)
+(declare-fun x188 () Bool)
+(declare-fun x189 () Bool)
+(declare-fun x190 () Bool)
+(declare-fun x191 () Bool)
+(declare-fun x192 () Bool)
+(declare-fun x193 () Bool)
+(declare-fun x194 () Bool)
+(declare-fun x195 () Bool)
+(declare-fun x196 () Bool)
+(declare-fun x197 () Bool)
+(declare-fun x198 () Bool)
+(declare-fun x199 () Bool)
+(declare-fun x200 () Bool)
+(declare-fun x201 () Bool)
+(declare-fun x202 () Bool)
+(declare-fun x203 () Bool)
+(declare-fun x204 () Bool)
+(declare-fun x205 () Bool)
+(declare-fun x206 () Bool)
+(declare-fun x207 () Bool)
+(declare-fun x208 () Bool)
+(declare-fun x209 () Bool)
+(declare-fun x210 () Bool)
+(declare-fun x211 () Bool)
+(declare-fun x212 () Bool)
+(declare-fun x213 () Bool)
+(declare-fun x214 () Bool)
+(declare-fun x215 () Bool)
+(declare-fun x216 () Bool)
+(declare-fun x217 () Bool)
+(declare-fun x218 () Bool)
+(declare-fun x219 () Bool)
+(declare-fun x220 () Bool)
+(declare-fun x221 () Bool)
+(declare-fun x222 () Bool)
+(declare-fun x223 () Bool)
+(declare-fun x224 () Bool)
+(declare-fun x225 () Bool)
+(declare-fun x226 () Bool)
+(declare-fun x227 () Bool)
+(declare-fun x228 () Bool)
+(declare-fun x229 () Bool)
+(declare-fun x230 () Bool)
+(declare-fun x231 () Bool)
+(declare-fun x232 () Bool)
+(declare-fun x233 () Bool)
+(declare-fun x234 () Bool)
+(declare-fun x235 () Bool)
+(declare-fun x236 () Bool)
+(declare-fun x237 () Bool)
+(declare-fun x238 () Bool)
+(declare-fun x239 () Bool)
+(declare-fun x240 () Bool)
+(assert (let ((?v_64 (* 1 x56)) (?v_65 (* 1 x55)) (?v_66 (* 1 x54)) (?v_67 (* 1 x53)) (?v_68 (* 1 x52)) (?v_69 (* 1 x51)) (?v_70 (* 1 x50)) (?v_71 (* 1 x49)) (?v_72 (* 1 x48)) (?v_73 (* 1 x47)) (?v_74 (* 1 x46)) (?v_75 (* 1 x45)) (?v_76 (* 1 x44)) (?v_77 (* 1 x43)) (?v_78 (* 1 x42)) (?v_79 (* 1 x41)) (?v_80 (* 1 x40)) (?v_81 (* 1 x39)) (?v_82 (* 1 x38)) (?v_83 (* 1 x37)) (?v_84 (* 1 x36)) (?v_85 (* 1 x35)) (?v_86 (* 1 x34)) (?v_87 (* 1 x33)) (?v_88 (* 1 x32)) (?v_89 (* 1 x31)) (?v_90 (* 1 x30)) (?v_91 (* 1 x29)) (?v_92 (* 1 x28)) (?v_93 (* 1 x27)) (?v_94 (* 1 x26)) (?v_95 (* 1 x25)) (?v_96 (* 1 x24)) (?v_97 (* 1 x23)) (?v_98 (* 1 x22)) (?v_99 (* 1 x21)) (?v_100 (* 1 x20)) (?v_101 (* 1 x19)) (?v_102 (* 1 x18)) (?v_103 (* 1 x17)) (?v_104 (* 1 x16)) (?v_105 (* 1 x15)) (?v_106 (* 1 x14)) (?v_107 (* 1 x13)) (?v_108 (* 1 x12)) (?v_109 (* 1 x11)) (?v_110 (* 1 x10)) (?v_111 (* 1 x9)) (?v_112 (* 1 x8)) (?v_113 (* 1 x7)) (?v_114 (* 1 x6)) (?v_115 (* 1 x5)) (?v_116 (* 1 x4)) (?v_117 (* 1 x3)) (?v_118 (* 1 x2)) (?v_119 (* 1 x1)) (?v_7 (* 1 x176)) (?v_15 (* 1 x175)) (?v_23 (* 1 x174)) (?v_31 (* 1 x173)) (?v_39 (* 1 x172)) (?v_47 (* 1 x171)) (?v_55 (* 1 x170)) (?v_63 (* 1 x169)) (?v_6 (* 1 x168)) (?v_14 (* 1 x167)) (?v_22 (* 1 x166)) (?v_30 (* 1 x165)) (?v_38 (* 1 x164)) (?v_46 (* 1 x163)) (?v_54 (* 1 x162)) (?v_62 (* 1 x161)) (?v_5 (* 1 x160)) (?v_13 (* 1 x159)) (?v_21 (* 1 x158)) (?v_29 (* 1 x157)) (?v_37 (* 1 x156)) (?v_45 (* 1 x155)) (?v_53 (* 1 x154)) (?v_61 (* 1 x153)) (?v_4 (* 1 x152)) (?v_12 (* 1 x151)) (?v_20 (* 1 x150)) (?v_28 (* 1 x149)) (?v_36 (* 1 x148)) (?v_44 (* 1 x147)) (?v_52 (* 1 x146)) (?v_60 (* 1 x145)) (?v_3 (* 1 x144)) (?v_11 (* 1 x143)) (?v_19 (* 1 x142)) (?v_27 (* 1 x141)) (?v_35 (* 1 x140)) (?v_43 (* 1 x139)) (?v_51 (* 1 x138)) (?v_59 (* 1 x137)) (?v_2 (* 1 x136)) (?v_10 (* 1 x135)) (?v_18 (* 1 x134)) (?v_26 (* 1 x133)) (?v_34 (* 1 x132)) (?v_42 (* 1 x131)) (?v_50 (* 1 x130)) (?v_58 (* 1 x129)) (?v_1 (* 1 x128)) (?v_9 (* 1 x127)) (?v_17 (* 1 x126)) (?v_25 (* 1 x125)) (?v_33 (* 1 x124)) (?v_41 (* 1 x123)) (?v_49 (* 1 x122)) (?v_57 (* 1 x121)) (?v_0 (* 1 x120)) (?v_8 (* 1 x119)) (?v_16 (* 1 x118)) (?v_24 (* 1 x117)) (?v_32 (* 1 x116)) (?v_40 (* 1 x115)) (?v_48 (* 1 x114)) (?v_56 (* 1 x113)) (?v_120 (not x207)) (?v_121 (not x208)) (?v_122 (not x209)) (?v_123 (and (not x210) true))) (let ((?v_126 (and ?v_122 ?v_123))) (let ((?v_131 (and ?v_121 ?v_126)) (?v_125 (and x210 true))) (let ((?v_127 (and ?v_122 ?v_125))) (let ((?v_133 (and ?v_121 ?v_127)) (?v_124 (= tmp75 400)) (?v_128 (and x209 ?v_123))) (let ((?v_134 (and ?v_121 ?v_128)) (?v_130 (and x209 ?v_125))) (let ((?v_135 (and ?v_121 ?v_130)) (?v_137 (and x208 ?v_126)) (?v_132 (= tmp75 300)) (?v_138 (and x208 ?v_127)) (?v_129 (= tmp75 700)) (?v_139 (and x208 ?v_128)) (?v_141 (and x208 ?v_130)) (?v_136 (= tmp75 1100)) (?v_140 (= tmp75 1000)) (?v_142 (not x216)) (?v_143 (not x215)) (?v_144 (not x214)) (?v_145 (not x213)) (?v_146 (not x212)) (?v_147 (and (not x211) true))) (let ((?v_150 (and ?v_146 ?v_147))) (let ((?v_155 (and ?v_145 ?v_150))) (let ((?v_164 (and ?v_144 ?v_155))) (let ((?v_181 (and ?v_143 ?v_164)) (?v_149 (and x211 true))) (let ((?v_151 (and ?v_146 ?v_149))) (let ((?v_156 (and ?v_145 ?v_151))) (let ((?v_165 (and ?v_144 ?v_156))) (let ((?v_182 (and ?v_143 ?v_165)) (?v_148 (= tmp74 400)) (?v_153 (and x212 ?v_147))) (let ((?v_157 (and ?v_145 ?v_153))) (let ((?v_166 (and ?v_144 ?v_157))) (let ((?v_183 (and ?v_143 ?v_166)) (?v_154 (and x212 ?v_149))) (let ((?v_158 (and ?v_145 ?v_154))) (let ((?v_167 (and ?v_144 ?v_158))) (let ((?v_184 (and ?v_143 ?v_167)) (?v_152 (= tmp74 800)) (?v_160 (and x213 ?v_150))) (let ((?v_168 (and ?v_144 ?v_160))) (let ((?v_185 (and ?v_143 ?v_168)) (?v_161 (and x213 ?v_151))) (let ((?v_169 (and ?v_144 ?v_161))) (let ((?v_186 (and ?v_143 ?v_169)) (?v_162 (and x213 ?v_153))) (let ((?v_170 (and ?v_144 ?v_162))) (let ((?v_187 (and ?v_143 ?v_170)) (?v_163 (and x213 ?v_154))) (let ((?v_171 (and ?v_144 ?v_163))) (let ((?v_188 (and ?v_143 ?v_171)) (?v_159 (= tmp74 1200)) (?v_173 (and x214 ?v_155))) (let ((?v_189 (and ?v_143 ?v_173)) (?v_174 (and x214 ?v_156))) (let ((?v_190 (and ?v_143 ?v_174)) (?v_175 (and x214 ?v_157))) (let ((?v_191 (and ?v_143 ?v_175)) (?v_176 (and x214 ?v_158))) (let ((?v_192 (and ?v_143 ?v_176)) (?v_177 (and x214 ?v_160))) (let ((?v_193 (and ?v_143 ?v_177)) (?v_178 (and x214 ?v_161))) (let ((?v_194 (and ?v_143 ?v_178)) (?v_179 (and x214 ?v_162))) (let ((?v_195 (and ?v_143 ?v_179)) (?v_180 (and x214 ?v_163))) (let ((?v_196 (and ?v_143 ?v_180)) (?v_172 (= tmp74 1600)) (?v_198 (and x215 ?v_164)) (?v_199 (and x215 ?v_165)) (?v_200 (and x215 ?v_166)) (?v_201 (and x215 ?v_167)) (?v_202 (and x215 ?v_168)) (?v_203 (and x215 ?v_169)) (?v_204 (and x215 ?v_170)) (?v_205 (and x215 ?v_171)) (?v_206 (and x215 ?v_173)) (?v_207 (and x215 ?v_174)) (?v_208 (and x215 ?v_175)) (?v_209 (and x215 ?v_176)) (?v_210 (and x215 ?v_177)) (?v_211 (and x215 ?v_178)) (?v_212 (and x215 ?v_179)) (?v_213 (and x215 ?v_180)) (?v_197 (= tmp74 2000)) (?v_214 (not x201)) (?v_215 (not x202)) (?v_216 (not x203)) (?v_217 (not x204)) (?v_218 (not x205)) (?v_219 (and (not x206) true))) (let ((?v_222 (and ?v_218 ?v_219))) (let ((?v_227 (and ?v_217 ?v_222))) (let ((?v_236 (and ?v_216 ?v_227))) (let ((?v_253 (and ?v_215 ?v_236)) (?v_221 (and x206 true))) (let ((?v_223 (and ?v_218 ?v_221))) (let ((?v_228 (and ?v_217 ?v_223))) (let ((?v_237 (and ?v_216 ?v_228))) (let ((?v_254 (and ?v_215 ?v_237)) (?v_220 (= tmp73 300)) (?v_225 (and x205 ?v_219))) (let ((?v_229 (and ?v_217 ?v_225))) (let ((?v_238 (and ?v_216 ?v_229))) (let ((?v_255 (and ?v_215 ?v_238)) (?v_226 (and x205 ?v_221))) (let ((?v_230 (and ?v_217 ?v_226))) (let ((?v_239 (and ?v_216 ?v_230))) (let ((?v_256 (and ?v_215 ?v_239)) (?v_224 (= tmp73 600)) (?v_232 (and x204 ?v_222))) (let ((?v_240 (and ?v_216 ?v_232))) (let ((?v_257 (and ?v_215 ?v_240)) (?v_233 (and x204 ?v_223))) (let ((?v_241 (and ?v_216 ?v_233))) (let ((?v_258 (and ?v_215 ?v_241)) (?v_234 (and x204 ?v_225))) (let ((?v_242 (and ?v_216 ?v_234))) (let ((?v_259 (and ?v_215 ?v_242)) (?v_235 (and x204 ?v_226))) (let ((?v_243 (and ?v_216 ?v_235))) (let ((?v_260 (and ?v_215 ?v_243)) (?v_231 (= tmp73 900)) (?v_245 (and x203 ?v_227))) (let ((?v_261 (and ?v_215 ?v_245)) (?v_246 (and x203 ?v_228))) (let ((?v_262 (and ?v_215 ?v_246)) (?v_247 (and x203 ?v_229))) (let ((?v_263 (and ?v_215 ?v_247)) (?v_248 (and x203 ?v_230))) (let ((?v_264 (and ?v_215 ?v_248)) (?v_249 (and x203 ?v_232))) (let ((?v_265 (and ?v_215 ?v_249)) (?v_250 (and x203 ?v_233))) (let ((?v_266 (and ?v_215 ?v_250)) (?v_251 (and x203 ?v_234))) (let ((?v_267 (and ?v_215 ?v_251)) (?v_252 (and x203 ?v_235))) (let ((?v_268 (and ?v_215 ?v_252)) (?v_244 (= tmp73 1200)) (?v_270 (and x202 ?v_236)) (?v_271 (and x202 ?v_237)) (?v_272 (and x202 ?v_238)) (?v_273 (and x202 ?v_239)) (?v_274 (and x202 ?v_240)) (?v_275 (and x202 ?v_241)) (?v_276 (and x202 ?v_242)) (?v_277 (and x202 ?v_243)) (?v_278 (and x202 ?v_245)) (?v_279 (and x202 ?v_246)) (?v_280 (and x202 ?v_247)) (?v_281 (and x202 ?v_248)) (?v_282 (and x202 ?v_249)) (?v_283 (and x202 ?v_250)) (?v_284 (and x202 ?v_251)) (?v_285 (and x202 ?v_252)) (?v_269 (= tmp73 1500)) (?v_286 (not x222)) (?v_287 (not x221)) (?v_288 (not x220)) (?v_289 (not x219)) (?v_290 (not x218)) (?v_291 (and (not x217) true))) (let ((?v_294 (and ?v_290 ?v_291))) (let ((?v_299 (and ?v_289 ?v_294))) (let ((?v_308 (and ?v_288 ?v_299))) (let ((?v_325 (and ?v_287 ?v_308)) (?v_293 (and x217 true))) (let ((?v_295 (and ?v_290 ?v_293))) (let ((?v_300 (and ?v_289 ?v_295))) (let ((?v_309 (and ?v_288 ?v_300))) (let ((?v_326 (and ?v_287 ?v_309)) (?v_292 (= tmp72 250)) (?v_297 (and x218 ?v_291))) (let ((?v_301 (and ?v_289 ?v_297))) (let ((?v_310 (and ?v_288 ?v_301))) (let ((?v_327 (and ?v_287 ?v_310)) (?v_298 (and x218 ?v_293))) (let ((?v_302 (and ?v_289 ?v_298))) (let ((?v_311 (and ?v_288 ?v_302))) (let ((?v_328 (and ?v_287 ?v_311)) (?v_296 (= tmp72 500)) (?v_304 (and x219 ?v_294))) (let ((?v_312 (and ?v_288 ?v_304))) (let ((?v_329 (and ?v_287 ?v_312)) (?v_305 (and x219 ?v_295))) (let ((?v_313 (and ?v_288 ?v_305))) (let ((?v_330 (and ?v_287 ?v_313)) (?v_306 (and x219 ?v_297))) (let ((?v_314 (and ?v_288 ?v_306))) (let ((?v_331 (and ?v_287 ?v_314)) (?v_307 (and x219 ?v_298))) (let ((?v_315 (and ?v_288 ?v_307))) (let ((?v_332 (and ?v_287 ?v_315)) (?v_303 (= tmp72 750)) (?v_317 (and x220 ?v_299))) (let ((?v_333 (and ?v_287 ?v_317)) (?v_318 (and x220 ?v_300))) (let ((?v_334 (and ?v_287 ?v_318)) (?v_319 (and x220 ?v_301))) (let ((?v_335 (and ?v_287 ?v_319)) (?v_320 (and x220 ?v_302))) (let ((?v_336 (and ?v_287 ?v_320)) (?v_321 (and x220 ?v_304))) (let ((?v_337 (and ?v_287 ?v_321)) (?v_322 (and x220 ?v_305))) (let ((?v_338 (and ?v_287 ?v_322)) (?v_323 (and x220 ?v_306))) (let ((?v_339 (and ?v_287 ?v_323)) (?v_324 (and x220 ?v_307))) (let ((?v_340 (and ?v_287 ?v_324)) (?v_316 (= tmp72 1000)) (?v_342 (and x221 ?v_308)) (?v_343 (and x221 ?v_309)) (?v_344 (and x221 ?v_310)) (?v_345 (and x221 ?v_311)) (?v_346 (and x221 ?v_312)) (?v_347 (and x221 ?v_313)) (?v_348 (and x221 ?v_314)) (?v_349 (and x221 ?v_315)) (?v_350 (and x221 ?v_317)) (?v_351 (and x221 ?v_318)) (?v_352 (and x221 ?v_319)) (?v_353 (and x221 ?v_320)) (?v_354 (and x221 ?v_321)) (?v_355 (and x221 ?v_322)) (?v_356 (and x221 ?v_323)) (?v_357 (and x221 ?v_324)) (?v_341 (= tmp72 1250)) (?v_358 (not x195)) (?v_359 (not x196)) (?v_360 (not x197)) (?v_361 (not x198)) (?v_362 (not x199)) (?v_363 (and (not x200) true))) (let ((?v_366 (and ?v_362 ?v_363))) (let ((?v_371 (and ?v_361 ?v_366))) (let ((?v_380 (and ?v_360 ?v_371))) (let ((?v_397 (and ?v_359 ?v_380)) (?v_365 (and x200 true))) (let ((?v_367 (and ?v_362 ?v_365))) (let ((?v_372 (and ?v_361 ?v_367))) (let ((?v_381 (and ?v_360 ?v_372))) (let ((?v_398 (and ?v_359 ?v_381)) (?v_364 (= tmp71 200)) (?v_369 (and x199 ?v_363))) (let ((?v_373 (and ?v_361 ?v_369))) (let ((?v_382 (and ?v_360 ?v_373))) (let ((?v_399 (and ?v_359 ?v_382)) (?v_370 (and x199 ?v_365))) (let ((?v_374 (and ?v_361 ?v_370))) (let ((?v_383 (and ?v_360 ?v_374))) (let ((?v_400 (and ?v_359 ?v_383)) (?v_368 (= tmp71 400)) (?v_376 (and x198 ?v_366))) (let ((?v_384 (and ?v_360 ?v_376))) (let ((?v_401 (and ?v_359 ?v_384)) (?v_377 (and x198 ?v_367))) (let ((?v_385 (and ?v_360 ?v_377))) (let ((?v_402 (and ?v_359 ?v_385)) (?v_378 (and x198 ?v_369))) (let ((?v_386 (and ?v_360 ?v_378))) (let ((?v_403 (and ?v_359 ?v_386)) (?v_379 (and x198 ?v_370))) (let ((?v_387 (and ?v_360 ?v_379))) (let ((?v_404 (and ?v_359 ?v_387)) (?v_375 (= tmp71 600)) (?v_389 (and x197 ?v_371))) (let ((?v_405 (and ?v_359 ?v_389)) (?v_390 (and x197 ?v_372))) (let ((?v_406 (and ?v_359 ?v_390)) (?v_391 (and x197 ?v_373))) (let ((?v_407 (and ?v_359 ?v_391)) (?v_392 (and x197 ?v_374))) (let ((?v_408 (and ?v_359 ?v_392)) (?v_393 (and x197 ?v_376))) (let ((?v_409 (and ?v_359 ?v_393)) (?v_394 (and x197 ?v_377))) (let ((?v_410 (and ?v_359 ?v_394)) (?v_395 (and x197 ?v_378))) (let ((?v_411 (and ?v_359 ?v_395)) (?v_396 (and x197 ?v_379))) (let ((?v_412 (and ?v_359 ?v_396)) (?v_388 (= tmp71 800)) (?v_414 (and x196 ?v_380)) (?v_415 (and x196 ?v_381)) (?v_416 (and x196 ?v_382)) (?v_417 (and x196 ?v_383)) (?v_418 (and x196 ?v_384)) (?v_419 (and x196 ?v_385)) (?v_420 (and x196 ?v_386)) (?v_421 (and x196 ?v_387)) (?v_422 (and x196 ?v_389)) (?v_423 (and x196 ?v_390)) (?v_424 (and x196 ?v_391)) (?v_425 (and x196 ?v_392)) (?v_426 (and x196 ?v_393)) (?v_427 (and x196 ?v_394)) (?v_428 (and x196 ?v_395)) (?v_429 (and x196 ?v_396)) (?v_413 (= tmp71 1000)) (?v_430 (not x228)) (?v_431 (not x227)) (?v_432 (not x226)) (?v_433 (not x225)) (?v_434 (not x224)) (?v_435 (and (not x223) true))) (let ((?v_438 (and ?v_434 ?v_435))) (let ((?v_444 (and ?v_433 ?v_438))) (let ((?v_454 (and ?v_432 ?v_444))) (let ((?v_472 (and ?v_431 ?v_454)) (?v_437 (and x223 true))) (let ((?v_440 (and ?v_434 ?v_437))) (let ((?v_445 (and ?v_433 ?v_440))) (let ((?v_455 (and ?v_432 ?v_445))) (let ((?v_473 (and ?v_431 ?v_455)) (?v_436 (= tmp70 250)) (?v_441 (and x224 ?v_435))) (let ((?v_446 (and ?v_433 ?v_441))) (let ((?v_456 (and ?v_432 ?v_446))) (let ((?v_474 (and ?v_431 ?v_456)) (?v_443 (and x224 ?v_437))) (let ((?v_447 (and ?v_433 ?v_443))) (let ((?v_457 (and ?v_432 ?v_447))) (let ((?v_475 (and ?v_431 ?v_457)) (?v_439 (= tmp70 500)) (?v_449 (and x225 ?v_438))) (let ((?v_458 (and ?v_432 ?v_449))) (let ((?v_476 (and ?v_431 ?v_458)) (?v_450 (and x225 ?v_440))) (let ((?v_459 (and ?v_432 ?v_450))) (let ((?v_477 (and ?v_431 ?v_459)) (?v_442 (= tmp70 750)) (?v_451 (and x225 ?v_441))) (let ((?v_460 (and ?v_432 ?v_451))) (let ((?v_478 (and ?v_431 ?v_460)) (?v_453 (and x225 ?v_443))) (let ((?v_461 (and ?v_432 ?v_453))) (let ((?v_479 (and ?v_431 ?v_461)) (?v_448 (= tmp70 1000)) (?v_463 (and x226 ?v_444))) (let ((?v_480 (and ?v_431 ?v_463)) (?v_464 (and x226 ?v_445))) (let ((?v_481 (and ?v_431 ?v_464)) (?v_465 (and x226 ?v_446))) (let ((?v_482 (and ?v_431 ?v_465)) (?v_466 (and x226 ?v_447))) (let ((?v_483 (and ?v_431 ?v_466)) (?v_467 (and x226 ?v_449))) (let ((?v_484 (and ?v_431 ?v_467)) (?v_468 (and x226 ?v_450))) (let ((?v_485 (and ?v_431 ?v_468)) (?v_452 (= tmp70 1250)) (?v_469 (and x226 ?v_451))) (let ((?v_486 (and ?v_431 ?v_469)) (?v_471 (and x226 ?v_453))) (let ((?v_487 (and ?v_431 ?v_471)) (?v_462 (= tmp70 1500)) (?v_489 (and x227 ?v_454)) (?v_490 (and x227 ?v_455)) (?v_491 (and x227 ?v_456)) (?v_492 (and x227 ?v_457)) (?v_493 (and x227 ?v_458)) (?v_494 (and x227 ?v_459)) (?v_495 (and x227 ?v_460)) (?v_496 (and x227 ?v_461)) (?v_497 (and x227 ?v_463)) (?v_498 (and x227 ?v_464)) (?v_499 (and x227 ?v_465)) (?v_500 (and x227 ?v_466)) (?v_501 (and x227 ?v_467)) (?v_502 (and x227 ?v_468)) (?v_470 (= tmp70 1750)) (?v_503 (and x227 ?v_469)) (?v_505 (and x227 ?v_471)) (?v_488 (= tmp70 2000)) (?v_504 (= tmp70 2250)) (?v_506 (not x189)) (?v_507 (not x190)) (?v_508 (not x191)) (?v_509 (not x192)) (?v_510 (not x193)) (?v_511 (and (not x194) true))) (let ((?v_514 (and ?v_510 ?v_511))) (let ((?v_519 (and ?v_509 ?v_514))) (let ((?v_528 (and ?v_508 ?v_519))) (let ((?v_545 (and ?v_507 ?v_528)) (?v_513 (and x194 true))) (let ((?v_515 (and ?v_510 ?v_513))) (let ((?v_520 (and ?v_509 ?v_515))) (let ((?v_529 (and ?v_508 ?v_520))) (let ((?v_546 (and ?v_507 ?v_529)) (?v_512 (= tmp69 200)) (?v_517 (and x193 ?v_511))) (let ((?v_521 (and ?v_509 ?v_517))) (let ((?v_530 (and ?v_508 ?v_521))) (let ((?v_547 (and ?v_507 ?v_530)) (?v_518 (and x193 ?v_513))) (let ((?v_522 (and ?v_509 ?v_518))) (let ((?v_531 (and ?v_508 ?v_522))) (let ((?v_548 (and ?v_507 ?v_531)) (?v_516 (= tmp69 400)) (?v_524 (and x192 ?v_514))) (let ((?v_532 (and ?v_508 ?v_524))) (let ((?v_549 (and ?v_507 ?v_532)) (?v_525 (and x192 ?v_515))) (let ((?v_533 (and ?v_508 ?v_525))) (let ((?v_550 (and ?v_507 ?v_533)) (?v_526 (and x192 ?v_517))) (let ((?v_534 (and ?v_508 ?v_526))) (let ((?v_551 (and ?v_507 ?v_534)) (?v_527 (and x192 ?v_518))) (let ((?v_535 (and ?v_508 ?v_527))) (let ((?v_552 (and ?v_507 ?v_535)) (?v_523 (= tmp69 600)) (?v_537 (and x191 ?v_519))) (let ((?v_553 (and ?v_507 ?v_537)) (?v_538 (and x191 ?v_520))) (let ((?v_554 (and ?v_507 ?v_538)) (?v_539 (and x191 ?v_521))) (let ((?v_555 (and ?v_507 ?v_539)) (?v_540 (and x191 ?v_522))) (let ((?v_556 (and ?v_507 ?v_540)) (?v_541 (and x191 ?v_524))) (let ((?v_557 (and ?v_507 ?v_541)) (?v_542 (and x191 ?v_525))) (let ((?v_558 (and ?v_507 ?v_542)) (?v_543 (and x191 ?v_526))) (let ((?v_559 (and ?v_507 ?v_543)) (?v_544 (and x191 ?v_527))) (let ((?v_560 (and ?v_507 ?v_544)) (?v_536 (= tmp69 800)) (?v_562 (and x190 ?v_528)) (?v_563 (and x190 ?v_529)) (?v_564 (and x190 ?v_530)) (?v_565 (and x190 ?v_531)) (?v_566 (and x190 ?v_532)) (?v_567 (and x190 ?v_533)) (?v_568 (and x190 ?v_534)) (?v_569 (and x190 ?v_535)) (?v_570 (and x190 ?v_537)) (?v_571 (and x190 ?v_538)) (?v_572 (and x190 ?v_539)) (?v_573 (and x190 ?v_540)) (?v_574 (and x190 ?v_541)) (?v_575 (and x190 ?v_542)) (?v_576 (and x190 ?v_543)) (?v_577 (and x190 ?v_544)) (?v_561 (= tmp69 1000)) (?v_578 (not x234)) (?v_579 (not x233)) (?v_580 (not x232)) (?v_581 (not x231)) (?v_582 (not x230)) (?v_583 (and (not x229) true))) (let ((?v_586 (and ?v_582 ?v_583))) (let ((?v_591 (and ?v_581 ?v_586))) (let ((?v_600 (and ?v_580 ?v_591))) (let ((?v_619 (and ?v_579 ?v_600)) (?v_585 (and x229 true))) (let ((?v_587 (and ?v_582 ?v_585))) (let ((?v_592 (and ?v_581 ?v_587))) (let ((?v_601 (and ?v_580 ?v_592))) (let ((?v_621 (and ?v_579 ?v_601)) (?v_584 (= tmp68 500)) (?v_589 (and x230 ?v_583))) (let ((?v_593 (and ?v_581 ?v_589))) (let ((?v_602 (and ?v_580 ?v_593))) (let ((?v_622 (and ?v_579 ?v_602)) (?v_590 (and x230 ?v_585))) (let ((?v_594 (and ?v_581 ?v_590))) (let ((?v_604 (and ?v_580 ?v_594))) (let ((?v_623 (and ?v_579 ?v_604)) (?v_588 (= tmp68 1000)) (?v_596 (and x231 ?v_586))) (let ((?v_605 (and ?v_580 ?v_596))) (let ((?v_624 (and ?v_579 ?v_605)) (?v_597 (and x231 ?v_587))) (let ((?v_606 (and ?v_580 ?v_597))) (let ((?v_625 (and ?v_579 ?v_606)) (?v_598 (and x231 ?v_589))) (let ((?v_608 (and ?v_580 ?v_598))) (let ((?v_626 (and ?v_579 ?v_608)) (?v_599 (and x231 ?v_590))) (let ((?v_609 (and ?v_580 ?v_599))) (let ((?v_627 (and ?v_579 ?v_609)) (?v_595 (= tmp68 1500)) (?v_610 (and x232 ?v_591))) (let ((?v_628 (and ?v_579 ?v_610)) (?v_611 (and x232 ?v_592))) (let ((?v_629 (and ?v_579 ?v_611)) (?v_612 (and x232 ?v_593))) (let ((?v_630 (and ?v_579 ?v_612)) (?v_613 (and x232 ?v_594))) (let ((?v_631 (and ?v_579 ?v_613)) (?v_615 (and x232 ?v_596))) (let ((?v_632 (and ?v_579 ?v_615)) (?v_616 (and x232 ?v_597))) (let ((?v_633 (and ?v_579 ?v_616)) (?v_617 (and x232 ?v_598))) (let ((?v_634 (and ?v_579 ?v_617)) (?v_618 (and x232 ?v_599))) (let ((?v_635 (and ?v_579 ?v_618)) (?v_637 (and x233 ?v_600)) (?v_620 (= tmp68 300)) (?v_638 (and x233 ?v_601)) (?v_603 (= tmp68 800)) (?v_639 (and x233 ?v_602)) (?v_641 (and x233 ?v_604)) (?v_607 (= tmp68 1300)) (?v_642 (and x233 ?v_605)) (?v_643 (and x233 ?v_606)) (?v_645 (and x233 ?v_608)) (?v_646 (and x233 ?v_609)) (?v_614 (= tmp68 1800)) (?v_647 (and x233 ?v_610)) (?v_648 (and x233 ?v_611)) (?v_649 (and x233 ?v_612)) (?v_650 (and x233 ?v_613)) (?v_652 (and x233 ?v_615)) (?v_653 (and x233 ?v_616)) (?v_654 (and x233 ?v_617)) (?v_655 (and x233 ?v_618)) (?v_636 (= tmp68 2300)) (?v_640 (= tmp68 1100)) (?v_644 (= tmp68 1600)) (?v_651 (= tmp68 2100)) (?v_656 (not x183)) (?v_657 (not x184)) (?v_658 (not x185)) (?v_659 (not x186)) (?v_660 (not x187)) (?v_661 (and (not x188) true))) (let ((?v_664 (and ?v_660 ?v_661))) (let ((?v_669 (and ?v_659 ?v_664))) (let ((?v_678 (and ?v_658 ?v_669))) (let ((?v_697 (and ?v_657 ?v_678)) (?v_663 (and x188 true))) (let ((?v_665 (and ?v_660 ?v_663))) (let ((?v_670 (and ?v_659 ?v_665))) (let ((?v_679 (and ?v_658 ?v_670))) (let ((?v_699 (and ?v_657 ?v_679)) (?v_662 (= tmp67 200)) (?v_667 (and x187 ?v_661))) (let ((?v_671 (and ?v_659 ?v_667))) (let ((?v_680 (and ?v_658 ?v_671))) (let ((?v_700 (and ?v_657 ?v_680)) (?v_668 (and x187 ?v_663))) (let ((?v_672 (and ?v_659 ?v_668))) (let ((?v_682 (and ?v_658 ?v_672))) (let ((?v_701 (and ?v_657 ?v_682)) (?v_666 (= tmp67 400)) (?v_674 (and x186 ?v_664))) (let ((?v_683 (and ?v_658 ?v_674))) (let ((?v_702 (and ?v_657 ?v_683)) (?v_675 (and x186 ?v_665))) (let ((?v_684 (and ?v_658 ?v_675))) (let ((?v_703 (and ?v_657 ?v_684)) (?v_676 (and x186 ?v_667))) (let ((?v_686 (and ?v_658 ?v_676))) (let ((?v_704 (and ?v_657 ?v_686)) (?v_677 (and x186 ?v_668))) (let ((?v_687 (and ?v_658 ?v_677))) (let ((?v_705 (and ?v_657 ?v_687)) (?v_673 (= tmp67 600)) (?v_688 (and x185 ?v_669))) (let ((?v_706 (and ?v_657 ?v_688)) (?v_689 (and x185 ?v_670))) (let ((?v_707 (and ?v_657 ?v_689)) (?v_690 (and x185 ?v_671))) (let ((?v_708 (and ?v_657 ?v_690)) (?v_691 (and x185 ?v_672))) (let ((?v_709 (and ?v_657 ?v_691)) (?v_693 (and x185 ?v_674))) (let ((?v_710 (and ?v_657 ?v_693)) (?v_694 (and x185 ?v_675))) (let ((?v_711 (and ?v_657 ?v_694)) (?v_695 (and x185 ?v_676))) (let ((?v_712 (and ?v_657 ?v_695)) (?v_696 (and x185 ?v_677))) (let ((?v_713 (and ?v_657 ?v_696)) (?v_723 (= tmp67 800)) (?v_715 (and x184 ?v_678)) (?v_698 (= tmp67 100)) (?v_716 (and x184 ?v_679)) (?v_681 (= tmp67 300)) (?v_717 (and x184 ?v_680)) (?v_718 (and x184 ?v_682)) (?v_685 (= tmp67 500)) (?v_719 (and x184 ?v_683)) (?v_720 (and x184 ?v_684)) (?v_721 (and x184 ?v_686)) (?v_722 (and x184 ?v_687)) (?v_692 (= tmp67 700)) (?v_724 (and x184 ?v_688)) (?v_725 (and x184 ?v_689)) (?v_726 (and x184 ?v_690)) (?v_727 (and x184 ?v_691)) (?v_728 (and x184 ?v_693)) (?v_729 (and x184 ?v_694)) (?v_730 (and x184 ?v_695)) (?v_731 (and x184 ?v_696)) (?v_714 (= tmp67 900)) (?v_732 (not x240)) (?v_733 (not x239)) (?v_734 (not x238)) (?v_735 (not x237)) (?v_736 (not x236)) (?v_737 (and (not x235) true))) (let ((?v_740 (and ?v_736 ?v_737))) (let ((?v_745 (and ?v_735 ?v_740))) (let ((?v_754 (and ?v_734 ?v_745))) (let ((?v_771 (and ?v_733 ?v_754)) (?v_739 (and x235 true))) (let ((?v_741 (and ?v_736 ?v_739))) (let ((?v_746 (and ?v_735 ?v_741))) (let ((?v_755 (and ?v_734 ?v_746))) (let ((?v_772 (and ?v_733 ?v_755)) (?v_738 (= tmp66 300)) (?v_743 (and x236 ?v_737))) (let ((?v_747 (and ?v_735 ?v_743))) (let ((?v_756 (and ?v_734 ?v_747))) (let ((?v_773 (and ?v_733 ?v_756)) (?v_744 (and x236 ?v_739))) (let ((?v_748 (and ?v_735 ?v_744))) (let ((?v_757 (and ?v_734 ?v_748))) (let ((?v_774 (and ?v_733 ?v_757)) (?v_742 (= tmp66 600)) (?v_750 (and x237 ?v_740))) (let ((?v_758 (and ?v_734 ?v_750))) (let ((?v_775 (and ?v_733 ?v_758)) (?v_751 (and x237 ?v_741))) (let ((?v_759 (and ?v_734 ?v_751))) (let ((?v_776 (and ?v_733 ?v_759)) (?v_752 (and x237 ?v_743))) (let ((?v_760 (and ?v_734 ?v_752))) (let ((?v_777 (and ?v_733 ?v_760)) (?v_753 (and x237 ?v_744))) (let ((?v_761 (and ?v_734 ?v_753))) (let ((?v_778 (and ?v_733 ?v_761)) (?v_749 (= tmp66 900)) (?v_763 (and x238 ?v_745))) (let ((?v_779 (and ?v_733 ?v_763)) (?v_764 (and x238 ?v_746))) (let ((?v_780 (and ?v_733 ?v_764)) (?v_765 (and x238 ?v_747))) (let ((?v_781 (and ?v_733 ?v_765)) (?v_766 (and x238 ?v_748))) (let ((?v_782 (and ?v_733 ?v_766)) (?v_767 (and x238 ?v_750))) (let ((?v_783 (and ?v_733 ?v_767)) (?v_768 (and x238 ?v_751))) (let ((?v_784 (and ?v_733 ?v_768)) (?v_769 (and x238 ?v_752))) (let ((?v_785 (and ?v_733 ?v_769)) (?v_770 (and x238 ?v_753))) (let ((?v_786 (and ?v_733 ?v_770)) (?v_762 (= tmp66 1200)) (?v_788 (and x239 ?v_754)) (?v_789 (and x239 ?v_755)) (?v_790 (and x239 ?v_756)) (?v_791 (and x239 ?v_757)) (?v_792 (and x239 ?v_758)) (?v_793 (and x239 ?v_759)) (?v_794 (and x239 ?v_760)) (?v_795 (and x239 ?v_761)) (?v_796 (and x239 ?v_763)) (?v_797 (and x239 ?v_764)) (?v_798 (and x239 ?v_765)) (?v_799 (and x239 ?v_766)) (?v_800 (and x239 ?v_767)) (?v_801 (and x239 ?v_768)) (?v_802 (and x239 ?v_769)) (?v_803 (and x239 ?v_770)) (?v_787 (= tmp66 1500)) (?v_804 (not x177)) (?v_805 (not x178)) (?v_806 (not x179)) (?v_807 (not x180)) (?v_808 (not x181)) (?v_809 (and (not x182) true))) (let ((?v_812 (and ?v_808 ?v_809))) (let ((?v_817 (and ?v_807 ?v_812))) (let ((?v_826 (and ?v_806 ?v_817))) (let ((?v_843 (and ?v_805 ?v_826)) (?v_811 (and x182 true))) (let ((?v_813 (and ?v_808 ?v_811))) (let ((?v_818 (and ?v_807 ?v_813))) (let ((?v_827 (and ?v_806 ?v_818))) (let ((?v_844 (and ?v_805 ?v_827)) (?v_810 (= tmp65 100)) (?v_815 (and x181 ?v_809))) (let ((?v_819 (and ?v_807 ?v_815))) (let ((?v_828 (and ?v_806 ?v_819))) (let ((?v_845 (and ?v_805 ?v_828)) (?v_816 (and x181 ?v_811))) (let ((?v_820 (and ?v_807 ?v_816))) (let ((?v_829 (and ?v_806 ?v_820))) (let ((?v_846 (and ?v_805 ?v_829)) (?v_814 (= tmp65 200)) (?v_822 (and x180 ?v_812))) (let ((?v_830 (and ?v_806 ?v_822))) (let ((?v_847 (and ?v_805 ?v_830)) (?v_823 (and x180 ?v_813))) (let ((?v_831 (and ?v_806 ?v_823))) (let ((?v_848 (and ?v_805 ?v_831)) (?v_824 (and x180 ?v_815))) (let ((?v_832 (and ?v_806 ?v_824))) (let ((?v_849 (and ?v_805 ?v_832)) (?v_825 (and x180 ?v_816))) (let ((?v_833 (and ?v_806 ?v_825))) (let ((?v_850 (and ?v_805 ?v_833)) (?v_821 (= tmp65 300)) (?v_835 (and x179 ?v_817))) (let ((?v_851 (and ?v_805 ?v_835)) (?v_836 (and x179 ?v_818))) (let ((?v_852 (and ?v_805 ?v_836)) (?v_837 (and x179 ?v_819))) (let ((?v_853 (and ?v_805 ?v_837)) (?v_838 (and x179 ?v_820))) (let ((?v_854 (and ?v_805 ?v_838)) (?v_839 (and x179 ?v_822))) (let ((?v_855 (and ?v_805 ?v_839)) (?v_840 (and x179 ?v_823))) (let ((?v_856 (and ?v_805 ?v_840)) (?v_841 (and x179 ?v_824))) (let ((?v_857 (and ?v_805 ?v_841)) (?v_842 (and x179 ?v_825))) (let ((?v_858 (and ?v_805 ?v_842)) (?v_834 (= tmp65 400)) (?v_860 (and x178 ?v_826)) (?v_861 (and x178 ?v_827)) (?v_862 (and x178 ?v_828)) (?v_863 (and x178 ?v_829)) (?v_864 (and x178 ?v_830)) (?v_865 (and x178 ?v_831)) (?v_866 (and x178 ?v_832)) (?v_867 (and x178 ?v_833)) (?v_868 (and x178 ?v_835)) (?v_869 (and x178 ?v_836)) (?v_870 (and x178 ?v_837)) (?v_871 (and x178 ?v_838)) (?v_872 (and x178 ?v_839)) (?v_873 (and x178 ?v_840)) (?v_874 (and x178 ?v_841)) (?v_875 (and x178 ?v_842)) (?v_859 (= tmp65 500))) (and (<= (+ (+ (* 1 tmp75) 0) (+ (* 1 tmp73) (+ (* 1 tmp71) (+ (* 1 tmp69) (+ (* 1 tmp67) (+ (* 1 tmp65) (+ (* 2 x112) (+ (* 2 x111) (+ (* 2 x110) (+ (* 2 x109) (+ (* 2 x108) (+ (* 2 x107) (+ (* 2 x106) (+ (* 2 x105) (+ (* 2 x104) (+ (* 2 x103) (+ (* 2 x102) (+ (* 2 x101) (+ (* 2 x100) (+ (* 2 x99) (+ (* 2 x98) (+ (* 2 x97) (+ (* 2 x96) (+ (* 2 x95) (+ (* 2 x94) (+ (* 2 x93) (+ (* 2 x92) (+ (* 2 x91) (+ (* 2 x90) (+ (* 2 x89) (+ (* 2 x88) (+ (* 2 x87) (+ (* 2 x86) (+ (* 2 x85) (+ (* 2 x84) (+ (* 2 x83) (+ (* 2 x82) (+ (* 2 x81) (+ (* 2 x80) (+ (* 2 x79) (+ (* 2 x78) (+ (* 2 x77) (+ (* 2 x76) (+ (* 2 x75) (+ (* 2 x74) (+ (* 2 x73) (+ (* 2 x72) (+ (* 2 x71) (+ (* 2 x70) (+ (* 2 x69) (+ (* 2 x68) (+ (* 2 x67) (+ (* 2 x66) (+ (* 2 x65) (+ (* 2 x64) (+ (* 2 x63) (+ (* 2 x62) (+ (* 2 x61) (+ (* 2 x60) (+ (* 2 x59) (+ (* 2 x58) (+ (* 2 x57) (+ ?v_64 (+ ?v_65 (+ ?v_66 (+ ?v_67 (+ ?v_68 (+ ?v_69 (+ ?v_70 (+ ?v_71 (+ ?v_72 (+ ?v_73 (+ ?v_74 (+ ?v_75 (+ ?v_76 (+ ?v_77 (+ ?v_78 (+ ?v_79 (+ ?v_80 (+ ?v_81 (+ ?v_82 (+ ?v_83 (+ ?v_84 (+ ?v_85 (+ ?v_86 (+ ?v_87 (+ ?v_88 (+ ?v_89 (+ ?v_90 (+ ?v_91 (+ ?v_92 (+ ?v_93 (+ ?v_94 (+ ?v_95 (+ ?v_96 (+ ?v_97 (+ ?v_98 (+ ?v_99 (+ ?v_100 (+ ?v_101 (+ ?v_102 (+ ?v_103 (+ ?v_104 (+ ?v_105 (+ ?v_106 (+ ?v_107 (+ ?v_108 (+ ?v_109 (+ ?v_110 (+ ?v_111 (+ ?v_112 (+ ?v_113 (+ ?v_114 (+ ?v_115 (+ ?v_116 (+ ?v_117 (+ ?v_118 (+ ?v_119 (+ (* 1 tmp66) (+ (* 1 tmp68) (+ (* 1 tmp70) (+ (* 1 tmp72) (+ (* 1 tmp74) 0))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) 3000) (<= (+ (+ (* 1 tmp64) 0) (+ ?v_7 0)) 0) (<= (+ (+ (* 1 tmp63) 0) (+ ?v_15 0)) 0) (<= (+ (+ (* 1 tmp62) 0) (+ ?v_23 0)) 0) (<= (+ (+ (* 1 tmp61) 0) (+ ?v_31 0)) 0) (<= (+ (+ (* 1 tmp60) 0) (+ ?v_39 0)) 0) (<= (+ (+ (* 1 tmp59) 0) (+ ?v_47 0)) 0) (<= (+ (+ (* 1 tmp58) 0) (+ ?v_55 0)) 0) (<= (+ (+ (* 1 tmp57) 0) (+ ?v_63 0)) 0) (<= (+ (+ (* 1 tmp56) 0) (+ ?v_6 0)) 0) (<= (+ (+ (* 1 tmp55) 0) (+ ?v_14 0)) 0) (<= (+ (+ (* 1 tmp54) 0) (+ ?v_22 0)) 0) (<= (+ (+ (* 1 tmp53) 0) (+ ?v_30 0)) 0) (<= (+ (+ (* 1 tmp52) 0) (+ ?v_38 0)) 0) (<= (+ (+ (* 1 tmp51) 0) (+ ?v_46 0)) 0) (<= (+ (+ (* 1 tmp50) 0) (+ ?v_54 0)) 0) (<= (+ (+ (* 1 tmp49) 0) (+ ?v_62 0)) 0) (<= (+ (+ (* 1 tmp48) 0) (+ ?v_5 0)) 0) (<= (+ (+ (* 1 tmp47) 0) (+ ?v_13 0)) 0) (<= (+ (+ (* 1 tmp46) 0) (+ ?v_21 0)) 0) (<= (+ (+ (* 1 tmp45) 0) (+ ?v_29 0)) 0) (<= (+ (+ (* 1 tmp44) 0) (+ ?v_37 0)) 0) (<= (+ (+ (* 1 tmp43) 0) (+ ?v_45 0)) 0) (<= (+ (+ (* 1 tmp42) 0) (+ ?v_53 0)) 0) (<= (+ (+ (* 1 tmp41) 0) (+ ?v_61 0)) 0) (<= (+ (+ (* 1 tmp40) 0) (+ ?v_4 0)) 0) (<= (+ (+ (* 1 tmp39) 0) (+ ?v_12 0)) 0) (<= (+ (+ (* 1 tmp38) 0) (+ ?v_20 0)) 0) (<= (+ (+ (* 1 tmp37) 0) (+ ?v_28 0)) 0) (<= (+ (+ (* 1 tmp36) 0) (+ ?v_36 0)) 0) (<= (+ (+ (* 1 tmp35) 0) (+ ?v_44 0)) 0) (<= (+ (+ (* 1 tmp34) 0) (+ ?v_52 0)) 0) (<= (+ (+ (* 1 tmp33) 0) (+ ?v_60 0)) 0) (<= (+ (+ (* 1 tmp32) 0) (+ ?v_3 0)) 0) (<= (+ (+ (* 1 tmp31) 0) (+ ?v_11 0)) 0) (<= (+ (+ (* 1 tmp30) 0) (+ ?v_19 0)) 0) (<= (+ (+ (* 1 tmp29) 0) (+ ?v_27 0)) 0) (<= (+ (+ (* 1 tmp28) 0) (+ ?v_35 0)) 0) (<= (+ (+ (* 1 tmp27) 0) (+ ?v_43 0)) 0) (<= (+ (+ (* 1 tmp26) 0) (+ ?v_51 0)) 0) (<= (+ (+ (* 1 tmp25) 0) (+ ?v_59 0)) 0) (<= (+ (+ (* 1 tmp24) 0) (+ ?v_2 0)) 0) (<= (+ (+ (* 1 tmp23) 0) (+ ?v_10 0)) 0) (<= (+ (+ (* 1 tmp22) 0) (+ ?v_18 0)) 0) (<= (+ (+ (* 1 tmp21) 0) (+ ?v_26 0)) 0) (<= (+ (+ (* 1 tmp20) 0) (+ ?v_34 0)) 0) (<= (+ (+ (* 1 tmp19) 0) (+ ?v_42 0)) 0) (<= (+ (+ (* 1 tmp18) 0) (+ ?v_50 0)) 0) (<= (+ (+ (* 1 tmp17) 0) (+ ?v_58 0)) 0) (<= (+ (+ (* 1 tmp16) 0) (+ ?v_1 0)) 0) (<= (+ (+ (* 1 tmp15) 0) (+ ?v_9 0)) 0) (<= (+ (+ (* 1 tmp14) 0) (+ ?v_17 0)) 0) (<= (+ (+ (* 1 tmp13) 0) (+ ?v_25 0)) 0) (<= (+ (+ (* 1 tmp12) 0) (+ ?v_33 0)) 0) (<= (+ (+ (* 1 tmp11) 0) (+ ?v_41 0)) 0) (<= (+ (+ (* 1 tmp10) 0) (+ ?v_49 0)) 0) (<= (+ (+ (* 1 tmp9) 0) (+ ?v_57 0)) 0) (<= (+ (+ (* 1 tmp8) 0) (+ ?v_0 0)) 0) (<= (+ (+ (* 1 tmp7) 0) (+ ?v_8 0)) 0) (<= (+ (+ (* 1 tmp6) 0) (+ ?v_16 0)) 0) (<= (+ (+ (* 1 tmp5) 0) (+ ?v_24 0)) 0) (<= (+ (+ (* 1 tmp4) 0) (+ ?v_32 0)) 0) (<= (+ (+ (* 1 tmp3) 0) (+ ?v_40 0)) 0) (<= (+ (+ (* 1 tmp2) 0) (+ ?v_48 0)) 0) (<= (+ (+ (* 1 tmp1) 0) (+ ?v_56 0)) 0) (<= (+ (+ (+ (+ (+ (+ (+ (+ 0 ?v_0) ?v_1) ?v_2) ?v_3) ?v_4) ?v_5) ?v_6) ?v_7) 500) (<= (+ (+ (+ (+ (+ (+ (+ (+ 0 ?v_8) ?v_9) ?v_10) ?v_11) ?v_12) ?v_13) ?v_14) ?v_15) 400) (<= (+ (+ (+ (+ (+ (+ (+ (+ 0 ?v_16) ?v_17) ?v_18) ?v_19) ?v_20) ?v_21) ?v_22) ?v_23) 400) (<= (+ (+ (+ (+ (+ (+ (+ (+ 0 ?v_24) ?v_25) ?v_26) ?v_27) ?v_28) ?v_29) ?v_30) ?v_31) 400) (<= (+ (+ (+ (+ (+ (+ (+ (+ 0 ?v_32) ?v_33) ?v_34) ?v_35) ?v_36) ?v_37) ?v_38) ?v_39) 400) (<= (+ (+ (+ (+ (+ (+ (+ (+ 0 ?v_40) ?v_41) ?v_42) ?v_43) ?v_44) ?v_45) ?v_46) ?v_47) 350) (<= (+ (+ (+ (+ (+ (+ (+ (+ 0 ?v_48) ?v_49) ?v_50) ?v_51) ?v_52) ?v_53) ?v_54) ?v_55) 350) (<= (+ (+ (+ (+ (+ (+ (+ (+ 0 ?v_56) ?v_57) ?v_58) ?v_59) ?v_60) ?v_61) ?v_62) ?v_63) 350) (= (+ (+ (+ 0 ?v_64) (* (- 1) x112)) ?v_7) 30) (= (+ (+ (+ (+ (+ 0 ?v_65) (* (- 1) x56)) (* (- 1) x111)) (* 1 x112)) ?v_15) 20) (= (+ (+ (+ (+ (+ 0 ?v_66) (* (- 1) x55)) (* (- 1) x110)) (* 1 x111)) ?v_23) 10) (= (+ (+ (+ (+ (+ 0 ?v_67) (* (- 1) x54)) (* (- 1) x109)) (* 1 x110)) ?v_31) 10) (= (+ (+ (+ (+ (+ 0 ?v_68) (* (- 1) x53)) (* (- 1) x108)) (* 1 x109)) ?v_39) 0) (= (+ (+ (+ (+ (+ 0 ?v_69) (* (- 1) x52)) (* (- 1) x107)) (* 1 x108)) ?v_47) 0) (= (+ (+ (+ (+ (+ 0 ?v_70) (* (- 1) x51)) (* (- 1) x106)) (* 1 x107)) ?v_55) 20) (= (+ (+ (+ 0 (* (- 1) x50)) (* 1 x106)) ?v_63) 10) (= (+ (+ (+ 0 ?v_71) (* (- 1) x105)) ?v_6) 40) (= (+ (+ (+ (+ (+ 0 ?v_72) (* (- 1) x49)) (* (- 1) x104)) (* 1 x105)) ?v_14) 40) (= (+ (+ (+ (+ (+ 0 ?v_73) (* (- 1) x48)) (* (- 1) x103)) (* 1 x104)) ?v_22) 60) (= (+ (+ (+ (+ (+ 0 ?v_74) (* (- 1) x47)) (* (- 1) x102)) (* 1 x103)) ?v_30) 20) (= (+ (+ (+ (+ (+ 0 ?v_75) (* (- 1) x46)) (* (- 1) x101)) (* 1 x102)) ?v_38) 10) (= (+ (+ (+ (+ (+ 0 ?v_76) (* (- 1) x45)) (* (- 1) x100)) (* 1 x101)) ?v_46) 50) (= (+ (+ (+ (+ (+ 0 ?v_77) (* (- 1) x44)) (* (- 1) x99)) (* 1 x100)) ?v_54) 20) (= (+ (+ (+ 0 (* (- 1) x43)) (* 1 x99)) ?v_62) 0) (= (+ (+ (+ 0 ?v_78) (* (- 1) x98)) ?v_5) 50) (= (+ (+ (+ (+ (+ 0 ?v_79) (* (- 1) x42)) (* (- 1) x97)) (* 1 x98)) ?v_13) 40) (= (+ (+ (+ (+ (+ 0 ?v_80) (* (- 1) x41)) (* (- 1) x96)) (* 1 x97)) ?v_21) 20) (= (+ (+ (+ (+ (+ 0 ?v_81) (* (- 1) x40)) (* (- 1) x95)) (* 1 x96)) ?v_29) 100) (= (+ (+ (+ (+ (+ 0 ?v_82) (* (- 1) x39)) (* (- 1) x94)) (* 1 x95)) ?v_37) 40) (= (+ (+ (+ (+ (+ 0 ?v_83) (* (- 1) x38)) (* (- 1) x93)) (* 1 x94)) ?v_45) 40) (= (+ (+ (+ (+ (+ 0 ?v_84) (* (- 1) x37)) (* (- 1) x92)) (* 1 x93)) ?v_53) 40) (= (+ (+ (+ 0 (* (- 1) x36)) (* 1 x92)) ?v_61) 70) (= (+ (+ (+ 0 ?v_85) (* (- 1) x91)) ?v_4) 10) (= (+ (+ (+ (+ (+ 0 ?v_86) (* (- 1) x35)) (* (- 1) x90)) (* 1 x91)) ?v_12) 20) (= (+ (+ (+ (+ (+ 0 ?v_87) (* (- 1) x34)) (* (- 1) x89)) (* 1 x90)) ?v_20) 10) (= (+ (+ (+ (+ (+ 0 ?v_88) (* (- 1) x33)) (* (- 1) x88)) (* 1 x89)) ?v_28) 10) (= (+ (+ (+ (+ (+ 0 ?v_89) (* (- 1) x32)) (* (- 1) x87)) (* 1 x88)) ?v_36) 40) (= (+ (+ (+ (+ (+ 0 ?v_90) (* (- 1) x31)) (* (- 1) x86)) (* 1 x87)) ?v_44) 20) (= (+ (+ (+ (+ (+ 0 ?v_91) (* (- 1) x30)) (* (- 1) x85)) (* 1 x86)) ?v_52) 0) (= (+ (+ (+ 0 (* (- 1) x29)) (* 1 x85)) ?v_60) 50) (= (+ (+ (+ 0 ?v_92) (* (- 1) x84)) ?v_3) 100) (= (+ (+ (+ (+ (+ 0 ?v_93) (* (- 1) x28)) (* (- 1) x83)) (* 1 x84)) ?v_11) 100) (= (+ (+ (+ (+ (+ 0 ?v_94) (* (- 1) x27)) (* (- 1) x82)) (* 1 x83)) ?v_19) 90) (= (+ (+ (+ (+ (+ 0 ?v_95) (* (- 1) x26)) (* (- 1) x81)) (* 1 x82)) ?v_27) 160) (= (+ (+ (+ (+ (+ 0 ?v_96) (* (- 1) x25)) (* (- 1) x80)) (* 1 x81)) ?v_35) 150) (= (+ (+ (+ (+ (+ 0 ?v_97) (* (- 1) x24)) (* (- 1) x79)) (* 1 x80)) ?v_43) 100) (= (+ (+ (+ (+ (+ 0 ?v_98) (* (- 1) x23)) (* (- 1) x78)) (* 1 x79)) ?v_51) 100) (= (+ (+ (+ 0 (* (- 1) x22)) (* 1 x78)) ?v_59) 0) (= (+ (+ (+ 0 ?v_99) (* (- 1) x77)) ?v_2) 160) (= (+ (+ (+ (+ (+ 0 ?v_100) (* (- 1) x21)) (* (- 1) x76)) (* 1 x77)) ?v_10) 90) (= (+ (+ (+ (+ (+ 0 ?v_101) (* (- 1) x20)) (* (- 1) x75)) (* 1 x76)) ?v_18) 80) (= (+ (+ (+ (+ (+ 0 ?v_102) (* (- 1) x19)) (* (- 1) x74)) (* 1 x75)) ?v_26) 40) (= (+ (+ (+ (+ (+ 0 ?v_103) (* (- 1) x18)) (* (- 1) x73)) (* 1 x74)) ?v_34) 100) (= (+ (+ (+ (+ (+ 0 ?v_104) (* (- 1) x17)) (* (- 1) x72)) (* 1 x73)) ?v_42) 0) (= (+ (+ (+ (+ (+ 0 ?v_105) (* (- 1) x16)) (* (- 1) x71)) (* 1 x72)) ?v_50) 50) (= (+ (+ (+ 0 (* (- 1) x15)) (* 1 x71)) ?v_58) 40) (= (+ (+ (+ 0 ?v_106) (* (- 1) x70)) ?v_1) 50) (= (+ (+ (+ (+ (+ 0 ?v_107) (* (- 1) x14)) (* (- 1) x69)) (* 1 x70)) ?v_9) 40) (= (+ (+ (+ (+ (+ 0 ?v_108) (* (- 1) x13)) (* (- 1) x68)) (* 1 x69)) ?v_17) 0) (= (+ (+ (+ (+ (+ 0 ?v_109) (* (- 1) x12)) (* (- 1) x67)) (* 1 x68)) ?v_25) 30) (= (+ (+ (+ (+ (+ 0 ?v_110) (* (- 1) x11)) (* (- 1) x66)) (* 1 x67)) ?v_33) 10) (= (+ (+ (+ (+ (+ 0 ?v_111) (* (- 1) x10)) (* (- 1) x65)) (* 1 x66)) ?v_41) 50) (= (+ (+ (+ (+ (+ 0 ?v_112) (* (- 1) x9)) (* (- 1) x64)) (* 1 x65)) ?v_49) 40) (= (+ (+ (+ 0 (* (- 1) x8)) (* 1 x64)) ?v_57) 20) (= (+ (+ (+ 0 ?v_113) (* (- 1) x63)) ?v_0) 100) (= (+ (+ (+ (+ (+ 0 ?v_114) (* (- 1) x7)) (* (- 1) x62)) (* 1 x63)) ?v_8) 0) (= (+ (+ (+ (+ (+ 0 ?v_115) (* (- 1) x6)) (* (- 1) x61)) (* 1 x62)) ?v_16) 80) (= (+ (+ (+ (+ (+ 0 ?v_116) (* (- 1) x5)) (* (- 1) x60)) (* 1 x61)) ?v_24) 20) (= (+ (+ (+ (+ (+ 0 ?v_117) (* (- 1) x4)) (* (- 1) x59)) (* 1 x60)) ?v_32) 100) (= (+ (+ (+ (+ (+ 0 ?v_118) (* (- 1) x3)) (* (- 1) x58)) (* 1 x59)) ?v_40) 50) (= (+ (+ (+ (+ (+ 0 ?v_119) (* (- 1) x2)) (* (- 1) x57)) (* 1 x58)) ?v_48) 70) (= (+ (+ (+ 0 (* (- 1) x1)) (* 1 x57)) ?v_56) 0) (>= x1 0) (>= x2 0) (>= x3 0) (>= x4 0) (>= x5 0) (>= x6 0) (>= x7 0) (>= x8 0) (>= x9 0) (>= x10 0) (>= x11 0) (>= x12 0) (>= x13 0) (>= x14 0) (>= x15 0) (>= x16 0) (>= x17 0) (>= x18 0) (>= x19 0) (>= x20 0) (>= x21 0) (>= x22 0) (>= x23 0) (>= x24 0) (>= x25 0) (>= x26 0) (>= x27 0) (>= x28 0) (>= x29 0) (>= x30 0) (>= x31 0) (>= x32 0) (>= x33 0) (>= x34 0) (>= x35 0) (>= x36 0) (>= x37 0) (>= x38 0) (>= x39 0) (>= x40 0) (>= x41 0) (>= x42 0) (>= x43 0) (>= x44 0) (>= x45 0) (>= x46 0) (>= x47 0) (>= x48 0) (>= x49 0) (>= x50 0) (>= x51 0) (>= x52 0) (>= x53 0) (>= x54 0) (>= x55 0) (>= x56 0) (>= x57 0) (>= x58 0) (>= x59 0) (>= x60 0) (>= x61 0) (>= x62 0) (>= x63 0) (>= x64 0) (>= x65 0) (>= x66 0) (>= x67 0) (>= x68 0) (>= x69 0) (>= x70 0) (>= x71 0) (>= x72 0) (>= x73 0) (>= x74 0) (>= x75 0) (>= x76 0) (>= x77 0) (>= x78 0) (>= x79 0) (>= x80 0) (>= x81 0) (>= x82 0) (>= x83 0) (>= x84 0) (>= x85 0) (>= x86 0) (>= x87 0) (>= x88 0) (>= x89 0) (>= x90 0) (>= x91 0) (>= x92 0) (>= x93 0) (>= x94 0) (>= x95 0) (>= x96 0) (>= x97 0) (>= x98 0) (>= x99 0) (>= x100 0) (>= x101 0) (>= x102 0) (>= x103 0) (>= x104 0) (>= x105 0) (>= x106 0) (>= x107 0) (>= x108 0) (>= x109 0) (>= x110 0) (>= x111 0) (>= x112 0) (>= x176 0) (>= x175 0) (>= x174 0) (>= x173 0) (>= x172 0) (>= x171 0) (>= x170 0) (>= x169 0) (>= x168 0) (>= x167 0) (>= x166 0) (>= x165 0) (>= x164 0) (>= x163 0) (>= x162 0) (>= x161 0) (>= x160 0) (>= x159 0) (>= x158 0) (>= x157 0) (>= x156 0) (>= x155 0) (>= x154 0) (>= x153 0) (>= x152 0) (>= x151 0) (>= x150 0) (>= x149 0) (>= x148 0) (>= x147 0) (>= x146 0) (>= x145 0) (>= x144 0) (>= x143 0) (>= x142 0) (>= x141 0) (>= x140 0) (>= x139 0) (>= x138 0) (>= x137 0) (>= x136 0) (>= x135 0) (>= x134 0) (>= x133 0) (>= x132 0) (>= x131 0) (>= x130 0) (>= x129 0) (>= x128 0) (>= x127 0) (>= x126 0) (>= x125 0) (>= x124 0) (>= x123 0) (>= x122 0) (>= x121 0) (>= x120 0) (>= x119 0) (>= x118 0) (>= x117 0) (>= x116 0) (>= x115 0) (>= x114 0) (>= x113 0) (=> (and ?v_120 ?v_131) (= tmp75 0)) (=> (and ?v_120 ?v_133) ?v_124) (=> (and ?v_120 ?v_134) ?v_124) (=> (and ?v_120 ?v_135) (= tmp75 800)) (=> (and ?v_120 ?v_137) ?v_132) (=> (and ?v_120 ?v_138) ?v_129) (=> (and ?v_120 ?v_139) ?v_129) (=> (and ?v_120 ?v_141) ?v_136) (=> (and x207 ?v_131) ?v_132) (=> (and x207 ?v_133) ?v_129) (=> (and x207 ?v_134) ?v_129) (=> (and x207 ?v_135) ?v_136) (=> (and x207 ?v_137) (= tmp75 600)) (=> (and x207 ?v_138) ?v_140) (=> (and x207 ?v_139) ?v_140) (=> (and x207 ?v_141) (= tmp75 1400)) (=> (and ?v_142 ?v_181) (= tmp74 0)) (=> (and ?v_142 ?v_182) ?v_148) (=> (and ?v_142 ?v_183) ?v_148) (=> (and ?v_142 ?v_184) ?v_152) (=> (and ?v_142 ?v_185) ?v_148) (=> (and ?v_142 ?v_186) ?v_152) (=> (and ?v_142 ?v_187) ?v_152) (=> (and ?v_142 ?v_188) ?v_159) (=> (and ?v_142 ?v_189) ?v_148) (=> (and ?v_142 ?v_190) ?v_152) (=> (and ?v_142 ?v_191) ?v_152) (=> (and ?v_142 ?v_192) ?v_159) (=> (and ?v_142 ?v_193) ?v_152) (=> (and ?v_142 ?v_194) ?v_159) (=> (and ?v_142 ?v_195) ?v_159) (=> (and ?v_142 ?v_196) ?v_172) (=> (and ?v_142 ?v_198) ?v_148) (=> (and ?v_142 ?v_199) ?v_152) (=> (and ?v_142 ?v_200) ?v_152) (=> (and ?v_142 ?v_201) ?v_159) (=> (and ?v_142 ?v_202) ?v_152) (=> (and ?v_142 ?v_203) ?v_159) (=> (and ?v_142 ?v_204) ?v_159) (=> (and ?v_142 ?v_205) ?v_172) (=> (and ?v_142 ?v_206) ?v_152) (=> (and ?v_142 ?v_207) ?v_159) (=> (and ?v_142 ?v_208) ?v_159) (=> (and ?v_142 ?v_209) ?v_172) (=> (and ?v_142 ?v_210) ?v_159) (=> (and ?v_142 ?v_211) ?v_172) (=> (and ?v_142 ?v_212) ?v_172) (=> (and ?v_142 ?v_213) ?v_197) (=> (and x216 ?v_181) ?v_148) (=> (and x216 ?v_182) ?v_152) (=> (and x216 ?v_183) ?v_152) (=> (and x216 ?v_184) ?v_159) (=> (and x216 ?v_185) ?v_152) (=> (and x216 ?v_186) ?v_159) (=> (and x216 ?v_187) ?v_159) (=> (and x216 ?v_188) ?v_172) (=> (and x216 ?v_189) ?v_152) (=> (and x216 ?v_190) ?v_159) (=> (and x216 ?v_191) ?v_159) (=> (and x216 ?v_192) ?v_172) (=> (and x216 ?v_193) ?v_159) (=> (and x216 ?v_194) ?v_172) (=> (and x216 ?v_195) ?v_172) (=> (and x216 ?v_196) ?v_197) (=> (and x216 ?v_198) ?v_152) (=> (and x216 ?v_199) ?v_159) (=> (and x216 ?v_200) ?v_159) (=> (and x216 ?v_201) ?v_172) (=> (and x216 ?v_202) ?v_159) (=> (and x216 ?v_203) ?v_172) (=> (and x216 ?v_204) ?v_172) (=> (and x216 ?v_205) ?v_197) (=> (and x216 ?v_206) ?v_159) (=> (and x216 ?v_207) ?v_172) (=> (and x216 ?v_208) ?v_172) (=> (and x216 ?v_209) ?v_197) (=> (and x216 ?v_210) ?v_172) (=> (and x216 ?v_211) ?v_197) (=> (and x216 ?v_212) ?v_197) (=> (and x216 ?v_213) (= tmp74 2400)) (=> (and ?v_214 ?v_253) (= tmp73 0)) (=> (and ?v_214 ?v_254) ?v_220) (=> (and ?v_214 ?v_255) ?v_220) (=> (and ?v_214 ?v_256) ?v_224) (=> (and ?v_214 ?v_257) ?v_220) (=> (and ?v_214 ?v_258) ?v_224) (=> (and ?v_214 ?v_259) ?v_224) (=> (and ?v_214 ?v_260) ?v_231) (=> (and ?v_214 ?v_261) ?v_220) (=> (and ?v_214 ?v_262) ?v_224) (=> (and ?v_214 ?v_263) ?v_224) (=> (and ?v_214 ?v_264) ?v_231) (=> (and ?v_214 ?v_265) ?v_224) (=> (and ?v_214 ?v_266) ?v_231) (=> (and ?v_214 ?v_267) ?v_231) (=> (and ?v_214 ?v_268) ?v_244) (=> (and ?v_214 ?v_270) ?v_220) (=> (and ?v_214 ?v_271) ?v_224) (=> (and ?v_214 ?v_272) ?v_224) (=> (and ?v_214 ?v_273) ?v_231) (=> (and ?v_214 ?v_274) ?v_224) (=> (and ?v_214 ?v_275) ?v_231) (=> (and ?v_214 ?v_276) ?v_231) (=> (and ?v_214 ?v_277) ?v_244) (=> (and ?v_214 ?v_278) ?v_224) (=> (and ?v_214 ?v_279) ?v_231) (=> (and ?v_214 ?v_280) ?v_231) (=> (and ?v_214 ?v_281) ?v_244) (=> (and ?v_214 ?v_282) ?v_231) (=> (and ?v_214 ?v_283) ?v_244) (=> (and ?v_214 ?v_284) ?v_244) (=> (and ?v_214 ?v_285) ?v_269) (=> (and x201 ?v_253) ?v_220) (=> (and x201 ?v_254) ?v_224) (=> (and x201 ?v_255) ?v_224) (=> (and x201 ?v_256) ?v_231) (=> (and x201 ?v_257) ?v_224) (=> (and x201 ?v_258) ?v_231) (=> (and x201 ?v_259) ?v_231) (=> (and x201 ?v_260) ?v_244) (=> (and x201 ?v_261) ?v_224) (=> (and x201 ?v_262) ?v_231) (=> (and x201 ?v_263) ?v_231) (=> (and x201 ?v_264) ?v_244) (=> (and x201 ?v_265) ?v_231) (=> (and x201 ?v_266) ?v_244) (=> (and x201 ?v_267) ?v_244) (=> (and x201 ?v_268) ?v_269) (=> (and x201 ?v_270) ?v_224) (=> (and x201 ?v_271) ?v_231) (=> (and x201 ?v_272) ?v_231) (=> (and x201 ?v_273) ?v_244) (=> (and x201 ?v_274) ?v_231) (=> (and x201 ?v_275) ?v_244) (=> (and x201 ?v_276) ?v_244) (=> (and x201 ?v_277) ?v_269) (=> (and x201 ?v_278) ?v_231) (=> (and x201 ?v_279) ?v_244) (=> (and x201 ?v_280) ?v_244) (=> (and x201 ?v_281) ?v_269) (=> (and x201 ?v_282) ?v_244) (=> (and x201 ?v_283) ?v_269) (=> (and x201 ?v_284) ?v_269) (=> (and x201 ?v_285) (= tmp73 1800)) (=> (and ?v_286 ?v_325) (= tmp72 0)) (=> (and ?v_286 ?v_326) ?v_292) (=> (and ?v_286 ?v_327) ?v_292) (=> (and ?v_286 ?v_328) ?v_296) (=> (and ?v_286 ?v_329) ?v_292) (=> (and ?v_286 ?v_330) ?v_296) (=> (and ?v_286 ?v_331) ?v_296) (=> (and ?v_286 ?v_332) ?v_303) (=> (and ?v_286 ?v_333) ?v_292) (=> (and ?v_286 ?v_334) ?v_296) (=> (and ?v_286 ?v_335) ?v_296) (=> (and ?v_286 ?v_336) ?v_303) (=> (and ?v_286 ?v_337) ?v_296) (=> (and ?v_286 ?v_338) ?v_303) (=> (and ?v_286 ?v_339) ?v_303) (=> (and ?v_286 ?v_340) ?v_316) (=> (and ?v_286 ?v_342) ?v_292) (=> (and ?v_286 ?v_343) ?v_296) (=> (and ?v_286 ?v_344) ?v_296) (=> (and ?v_286 ?v_345) ?v_303) (=> (and ?v_286 ?v_346) ?v_296) (=> (and ?v_286 ?v_347) ?v_303) (=> (and ?v_286 ?v_348) ?v_303) (=> (and ?v_286 ?v_349) ?v_316) (=> (and ?v_286 ?v_350) ?v_296) (=> (and ?v_286 ?v_351) ?v_303) (=> (and ?v_286 ?v_352) ?v_303) (=> (and ?v_286 ?v_353) ?v_316) (=> (and ?v_286 ?v_354) ?v_303) (=> (and ?v_286 ?v_355) ?v_316) (=> (and ?v_286 ?v_356) ?v_316) (=> (and ?v_286 ?v_357) ?v_341) (=> (and x222 ?v_325) ?v_292) (=> (and x222 ?v_326) ?v_296) (=> (and x222 ?v_327) ?v_296) (=> (and x222 ?v_328) ?v_303) (=> (and x222 ?v_329) ?v_296) (=> (and x222 ?v_330) ?v_303) (=> (and x222 ?v_331) ?v_303) (=> (and x222 ?v_332) ?v_316) (=> (and x222 ?v_333) ?v_296) (=> (and x222 ?v_334) ?v_303) (=> (and x222 ?v_335) ?v_303) (=> (and x222 ?v_336) ?v_316) (=> (and x222 ?v_337) ?v_303) (=> (and x222 ?v_338) ?v_316) (=> (and x222 ?v_339) ?v_316) (=> (and x222 ?v_340) ?v_341) (=> (and x222 ?v_342) ?v_296) (=> (and x222 ?v_343) ?v_303) (=> (and x222 ?v_344) ?v_303) (=> (and x222 ?v_345) ?v_316) (=> (and x222 ?v_346) ?v_303) (=> (and x222 ?v_347) ?v_316) (=> (and x222 ?v_348) ?v_316) (=> (and x222 ?v_349) ?v_341) (=> (and x222 ?v_350) ?v_303) (=> (and x222 ?v_351) ?v_316) (=> (and x222 ?v_352) ?v_316) (=> (and x222 ?v_353) ?v_341) (=> (and x222 ?v_354) ?v_316) (=> (and x222 ?v_355) ?v_341) (=> (and x222 ?v_356) ?v_341) (=> (and x222 ?v_357) (= tmp72 1500)) (=> (and ?v_358 ?v_397) (= tmp71 0)) (=> (and ?v_358 ?v_398) ?v_364) (=> (and ?v_358 ?v_399) ?v_364) (=> (and ?v_358 ?v_400) ?v_368) (=> (and ?v_358 ?v_401) ?v_364) (=> (and ?v_358 ?v_402) ?v_368) (=> (and ?v_358 ?v_403) ?v_368) (=> (and ?v_358 ?v_404) ?v_375) (=> (and ?v_358 ?v_405) ?v_364) (=> (and ?v_358 ?v_406) ?v_368) (=> (and ?v_358 ?v_407) ?v_368) (=> (and ?v_358 ?v_408) ?v_375) (=> (and ?v_358 ?v_409) ?v_368) (=> (and ?v_358 ?v_410) ?v_375) (=> (and ?v_358 ?v_411) ?v_375) (=> (and ?v_358 ?v_412) ?v_388) (=> (and ?v_358 ?v_414) ?v_364) (=> (and ?v_358 ?v_415) ?v_368) (=> (and ?v_358 ?v_416) ?v_368) (=> (and ?v_358 ?v_417) ?v_375) (=> (and ?v_358 ?v_418) ?v_368) (=> (and ?v_358 ?v_419) ?v_375) (=> (and ?v_358 ?v_420) ?v_375) (=> (and ?v_358 ?v_421) ?v_388) (=> (and ?v_358 ?v_422) ?v_368) (=> (and ?v_358 ?v_423) ?v_375) (=> (and ?v_358 ?v_424) ?v_375) (=> (and ?v_358 ?v_425) ?v_388) (=> (and ?v_358 ?v_426) ?v_375) (=> (and ?v_358 ?v_427) ?v_388) (=> (and ?v_358 ?v_428) ?v_388) (=> (and ?v_358 ?v_429) ?v_413) (=> (and x195 ?v_397) ?v_364) (=> (and x195 ?v_398) ?v_368) (=> (and x195 ?v_399) ?v_368) (=> (and x195 ?v_400) ?v_375) (=> (and x195 ?v_401) ?v_368) (=> (and x195 ?v_402) ?v_375) (=> (and x195 ?v_403) ?v_375) (=> (and x195 ?v_404) ?v_388) (=> (and x195 ?v_405) ?v_368) (=> (and x195 ?v_406) ?v_375) (=> (and x195 ?v_407) ?v_375) (=> (and x195 ?v_408) ?v_388) (=> (and x195 ?v_409) ?v_375) (=> (and x195 ?v_410) ?v_388) (=> (and x195 ?v_411) ?v_388) (=> (and x195 ?v_412) ?v_413) (=> (and x195 ?v_414) ?v_368) (=> (and x195 ?v_415) ?v_375) (=> (and x195 ?v_416) ?v_375) (=> (and x195 ?v_417) ?v_388) (=> (and x195 ?v_418) ?v_375) (=> (and x195 ?v_419) ?v_388) (=> (and x195 ?v_420) ?v_388) (=> (and x195 ?v_421) ?v_413) (=> (and x195 ?v_422) ?v_375) (=> (and x195 ?v_423) ?v_388) (=> (and x195 ?v_424) ?v_388) (=> (and x195 ?v_425) ?v_413) (=> (and x195 ?v_426) ?v_388) (=> (and x195 ?v_427) ?v_413) (=> (and x195 ?v_428) ?v_413) (=> (and x195 ?v_429) (= tmp71 1200)) (=> (and ?v_430 ?v_472) (= tmp70 0)) (=> (and ?v_430 ?v_473) ?v_436) (=> (and ?v_430 ?v_474) ?v_436) (=> (and ?v_430 ?v_475) ?v_439) (=> (and ?v_430 ?v_476) ?v_439) (=> (and ?v_430 ?v_477) ?v_442) (=> (and ?v_430 ?v_478) ?v_442) (=> (and ?v_430 ?v_479) ?v_448) (=> (and ?v_430 ?v_480) ?v_439) (=> (and ?v_430 ?v_481) ?v_442) (=> (and ?v_430 ?v_482) ?v_442) (=> (and ?v_430 ?v_483) ?v_448) (=> (and ?v_430 ?v_484) ?v_448) (=> (and ?v_430 ?v_485) ?v_452) (=> (and ?v_430 ?v_486) ?v_452) (=> (and ?v_430 ?v_487) ?v_462) (=> (and ?v_430 ?v_489) ?v_439) (=> (and ?v_430 ?v_490) ?v_442) (=> (and ?v_430 ?v_491) ?v_442) (=> (and ?v_430 ?v_492) ?v_448) (=> (and ?v_430 ?v_493) ?v_448) (=> (and ?v_430 ?v_494) ?v_452) (=> (and ?v_430 ?v_495) ?v_452) (=> (and ?v_430 ?v_496) ?v_462) (=> (and ?v_430 ?v_497) ?v_448) (=> (and ?v_430 ?v_498) ?v_452) (=> (and ?v_430 ?v_499) ?v_452) (=> (and ?v_430 ?v_500) ?v_462) (=> (and ?v_430 ?v_501) ?v_462) (=> (and ?v_430 ?v_502) ?v_470) (=> (and ?v_430 ?v_503) ?v_470) (=> (and ?v_430 ?v_505) ?v_488) (=> (and x228 ?v_472) ?v_439) (=> (and x228 ?v_473) ?v_442) (=> (and x228 ?v_474) ?v_442) (=> (and x228 ?v_475) ?v_448) (=> (and x228 ?v_476) ?v_448) (=> (and x228 ?v_477) ?v_452) (=> (and x228 ?v_478) ?v_452) (=> (and x228 ?v_479) ?v_462) (=> (and x228 ?v_480) ?v_448) (=> (and x228 ?v_481) ?v_452) (=> (and x228 ?v_482) ?v_452) (=> (and x228 ?v_483) ?v_462) (=> (and x228 ?v_484) ?v_462) (=> (and x228 ?v_485) ?v_470) (=> (and x228 ?v_486) ?v_470) (=> (and x228 ?v_487) ?v_488) (=> (and x228 ?v_489) ?v_448) (=> (and x228 ?v_490) ?v_452) (=> (and x228 ?v_491) ?v_452) (=> (and x228 ?v_492) ?v_462) (=> (and x228 ?v_493) ?v_462) (=> (and x228 ?v_494) ?v_470) (=> (and x228 ?v_495) ?v_470) (=> (and x228 ?v_496) ?v_488) (=> (and x228 ?v_497) ?v_462) (=> (and x228 ?v_498) ?v_470) (=> (and x228 ?v_499) ?v_470) (=> (and x228 ?v_500) ?v_488) (=> (and x228 ?v_501) ?v_488) (=> (and x228 ?v_502) ?v_504) (=> (and x228 ?v_503) ?v_504) (=> (and x228 ?v_505) (= tmp70 2500)) (=> (and ?v_506 ?v_545) (= tmp69 0)) (=> (and ?v_506 ?v_546) ?v_512) (=> (and ?v_506 ?v_547) ?v_512) (=> (and ?v_506 ?v_548) ?v_516) (=> (and ?v_506 ?v_549) ?v_512) (=> (and ?v_506 ?v_550) ?v_516) (=> (and ?v_506 ?v_551) ?v_516) (=> (and ?v_506 ?v_552) ?v_523) (=> (and ?v_506 ?v_553) ?v_512) (=> (and ?v_506 ?v_554) ?v_516) (=> (and ?v_506 ?v_555) ?v_516) (=> (and ?v_506 ?v_556) ?v_523) (=> (and ?v_506 ?v_557) ?v_516) (=> (and ?v_506 ?v_558) ?v_523) (=> (and ?v_506 ?v_559) ?v_523) (=> (and ?v_506 ?v_560) ?v_536) (=> (and ?v_506 ?v_562) ?v_512) (=> (and ?v_506 ?v_563) ?v_516) (=> (and ?v_506 ?v_564) ?v_516) (=> (and ?v_506 ?v_565) ?v_523) (=> (and ?v_506 ?v_566) ?v_516) (=> (and ?v_506 ?v_567) ?v_523) (=> (and ?v_506 ?v_568) ?v_523) (=> (and ?v_506 ?v_569) ?v_536) (=> (and ?v_506 ?v_570) ?v_516) (=> (and ?v_506 ?v_571) ?v_523) (=> (and ?v_506 ?v_572) ?v_523) (=> (and ?v_506 ?v_573) ?v_536) (=> (and ?v_506 ?v_574) ?v_523) (=> (and ?v_506 ?v_575) ?v_536) (=> (and ?v_506 ?v_576) ?v_536) (=> (and ?v_506 ?v_577) ?v_561) (=> (and x189 ?v_545) ?v_512) (=> (and x189 ?v_546) ?v_516) (=> (and x189 ?v_547) ?v_516) (=> (and x189 ?v_548) ?v_523) (=> (and x189 ?v_549) ?v_516) (=> (and x189 ?v_550) ?v_523) (=> (and x189 ?v_551) ?v_523) (=> (and x189 ?v_552) ?v_536) (=> (and x189 ?v_553) ?v_516) (=> (and x189 ?v_554) ?v_523) (=> (and x189 ?v_555) ?v_523) (=> (and x189 ?v_556) ?v_536) (=> (and x189 ?v_557) ?v_523) (=> (and x189 ?v_558) ?v_536) (=> (and x189 ?v_559) ?v_536) (=> (and x189 ?v_560) ?v_561) (=> (and x189 ?v_562) ?v_516) (=> (and x189 ?v_563) ?v_523) (=> (and x189 ?v_564) ?v_523) (=> (and x189 ?v_565) ?v_536) (=> (and x189 ?v_566) ?v_523) (=> (and x189 ?v_567) ?v_536) (=> (and x189 ?v_568) ?v_536) (=> (and x189 ?v_569) ?v_561) (=> (and x189 ?v_570) ?v_523) (=> (and x189 ?v_571) ?v_536) (=> (and x189 ?v_572) ?v_536) (=> (and x189 ?v_573) ?v_561) (=> (and x189 ?v_574) ?v_536) (=> (and x189 ?v_575) ?v_561) (=> (and x189 ?v_576) ?v_561) (=> (and x189 ?v_577) (= tmp69 1200)) (=> (and ?v_578 ?v_619) (= tmp68 0)) (=> (and ?v_578 ?v_621) ?v_584) (=> (and ?v_578 ?v_622) ?v_584) (=> (and ?v_578 ?v_623) ?v_588) (=> (and ?v_578 ?v_624) ?v_584) (=> (and ?v_578 ?v_625) ?v_588) (=> (and ?v_578 ?v_626) ?v_588) (=> (and ?v_578 ?v_627) ?v_595) (=> (and ?v_578 ?v_628) ?v_584) (=> (and ?v_578 ?v_629) ?v_588) (=> (and ?v_578 ?v_630) ?v_588) (=> (and ?v_578 ?v_631) ?v_595) (=> (and ?v_578 ?v_632) ?v_588) (=> (and ?v_578 ?v_633) ?v_595) (=> (and ?v_578 ?v_634) ?v_595) (=> (and ?v_578 ?v_635) (= tmp68 2000)) (=> (and ?v_578 ?v_637) ?v_620) (=> (and ?v_578 ?v_638) ?v_603) (=> (and ?v_578 ?v_639) ?v_603) (=> (and ?v_578 ?v_641) ?v_607) (=> (and ?v_578 ?v_642) ?v_603) (=> (and ?v_578 ?v_643) ?v_607) (=> (and ?v_578 ?v_645) ?v_607) (=> (and ?v_578 ?v_646) ?v_614) (=> (and ?v_578 ?v_647) ?v_603) (=> (and ?v_578 ?v_648) ?v_607) (=> (and ?v_578 ?v_649) ?v_607) (=> (and ?v_578 ?v_650) ?v_614) (=> (and ?v_578 ?v_652) ?v_607) (=> (and ?v_578 ?v_653) ?v_614) (=> (and ?v_578 ?v_654) ?v_614) (=> (and ?v_578 ?v_655) ?v_636) (=> (and x234 ?v_619) ?v_620) (=> (and x234 ?v_621) ?v_603) (=> (and x234 ?v_622) ?v_603) (=> (and x234 ?v_623) ?v_607) (=> (and x234 ?v_624) ?v_603) (=> (and x234 ?v_625) ?v_607) (=> (and x234 ?v_626) ?v_607) (=> (and x234 ?v_627) ?v_614) (=> (and x234 ?v_628) ?v_603) (=> (and x234 ?v_629) ?v_607) (=> (and x234 ?v_630) ?v_607) (=> (and x234 ?v_631) ?v_614) (=> (and x234 ?v_632) ?v_607) (=> (and x234 ?v_633) ?v_614) (=> (and x234 ?v_634) ?v_614) (=> (and x234 ?v_635) ?v_636) (=> (and x234 ?v_637) (= tmp68 600)) (=> (and x234 ?v_638) ?v_640) (=> (and x234 ?v_639) ?v_640) (=> (and x234 ?v_641) ?v_644) (=> (and x234 ?v_642) ?v_640) (=> (and x234 ?v_643) ?v_644) (=> (and x234 ?v_645) ?v_644) (=> (and x234 ?v_646) ?v_651) (=> (and x234 ?v_647) ?v_640) (=> (and x234 ?v_648) ?v_644) (=> (and x234 ?v_649) ?v_644) (=> (and x234 ?v_650) ?v_651) (=> (and x234 ?v_652) ?v_644) (=> (and x234 ?v_653) ?v_651) (=> (and x234 ?v_654) ?v_651) (=> (and x234 ?v_655) (= tmp68 2600)) (=> (and ?v_656 ?v_697) (= tmp67 0)) (=> (and ?v_656 ?v_699) ?v_662) (=> (and ?v_656 ?v_700) ?v_662) (=> (and ?v_656 ?v_701) ?v_666) (=> (and ?v_656 ?v_702) ?v_662) (=> (and ?v_656 ?v_703) ?v_666) (=> (and ?v_656 ?v_704) ?v_666) (=> (and ?v_656 ?v_705) ?v_673) (=> (and ?v_656 ?v_706) ?v_662) (=> (and ?v_656 ?v_707) ?v_666) (=> (and ?v_656 ?v_708) ?v_666) (=> (and ?v_656 ?v_709) ?v_673) (=> (and ?v_656 ?v_710) ?v_666) (=> (and ?v_656 ?v_711) ?v_673) (=> (and ?v_656 ?v_712) ?v_673) (=> (and ?v_656 ?v_713) ?v_723) (=> (and ?v_656 ?v_715) ?v_698) (=> (and ?v_656 ?v_716) ?v_681) (=> (and ?v_656 ?v_717) ?v_681) (=> (and ?v_656 ?v_718) ?v_685) (=> (and ?v_656 ?v_719) ?v_681) (=> (and ?v_656 ?v_720) ?v_685) (=> (and ?v_656 ?v_721) ?v_685) (=> (and ?v_656 ?v_722) ?v_692) (=> (and ?v_656 ?v_724) ?v_681) (=> (and ?v_656 ?v_725) ?v_685) (=> (and ?v_656 ?v_726) ?v_685) (=> (and ?v_656 ?v_727) ?v_692) (=> (and ?v_656 ?v_728) ?v_685) (=> (and ?v_656 ?v_729) ?v_692) (=> (and ?v_656 ?v_730) ?v_692) (=> (and ?v_656 ?v_731) ?v_714) (=> (and x183 ?v_697) ?v_698) (=> (and x183 ?v_699) ?v_681) (=> (and x183 ?v_700) ?v_681) (=> (and x183 ?v_701) ?v_685) (=> (and x183 ?v_702) ?v_681) (=> (and x183 ?v_703) ?v_685) (=> (and x183 ?v_704) ?v_685) (=> (and x183 ?v_705) ?v_692) (=> (and x183 ?v_706) ?v_681) (=> (and x183 ?v_707) ?v_685) (=> (and x183 ?v_708) ?v_685) (=> (and x183 ?v_709) ?v_692) (=> (and x183 ?v_710) ?v_685) (=> (and x183 ?v_711) ?v_692) (=> (and x183 ?v_712) ?v_692) (=> (and x183 ?v_713) ?v_714) (=> (and x183 ?v_715) ?v_662) (=> (and x183 ?v_716) ?v_666) (=> (and x183 ?v_717) ?v_666) (=> (and x183 ?v_718) ?v_673) (=> (and x183 ?v_719) ?v_666) (=> (and x183 ?v_720) ?v_673) (=> (and x183 ?v_721) ?v_673) (=> (and x183 ?v_722) ?v_723) (=> (and x183 ?v_724) ?v_666) (=> (and x183 ?v_725) ?v_673) (=> (and x183 ?v_726) ?v_673) (=> (and x183 ?v_727) ?v_723) (=> (and x183 ?v_728) ?v_673) (=> (and x183 ?v_729) ?v_723) (=> (and x183 ?v_730) ?v_723) (=> (and x183 ?v_731) (= tmp67 1000)) (=> (and ?v_732 ?v_771) (= tmp66 0)) (=> (and ?v_732 ?v_772) ?v_738) (=> (and ?v_732 ?v_773) ?v_738) (=> (and ?v_732 ?v_774) ?v_742) (=> (and ?v_732 ?v_775) ?v_738) (=> (and ?v_732 ?v_776) ?v_742) (=> (and ?v_732 ?v_777) ?v_742) (=> (and ?v_732 ?v_778) ?v_749) (=> (and ?v_732 ?v_779) ?v_738) (=> (and ?v_732 ?v_780) ?v_742) (=> (and ?v_732 ?v_781) ?v_742) (=> (and ?v_732 ?v_782) ?v_749) (=> (and ?v_732 ?v_783) ?v_742) (=> (and ?v_732 ?v_784) ?v_749) (=> (and ?v_732 ?v_785) ?v_749) (=> (and ?v_732 ?v_786) ?v_762) (=> (and ?v_732 ?v_788) ?v_738) (=> (and ?v_732 ?v_789) ?v_742) (=> (and ?v_732 ?v_790) ?v_742) (=> (and ?v_732 ?v_791) ?v_749) (=> (and ?v_732 ?v_792) ?v_742) (=> (and ?v_732 ?v_793) ?v_749) (=> (and ?v_732 ?v_794) ?v_749) (=> (and ?v_732 ?v_795) ?v_762) (=> (and ?v_732 ?v_796) ?v_742) (=> (and ?v_732 ?v_797) ?v_749) (=> (and ?v_732 ?v_798) ?v_749) (=> (and ?v_732 ?v_799) ?v_762) (=> (and ?v_732 ?v_800) ?v_749) (=> (and ?v_732 ?v_801) ?v_762) (=> (and ?v_732 ?v_802) ?v_762) (=> (and ?v_732 ?v_803) ?v_787) (=> (and x240 ?v_771) ?v_738) (=> (and x240 ?v_772) ?v_742) (=> (and x240 ?v_773) ?v_742) (=> (and x240 ?v_774) ?v_749) (=> (and x240 ?v_775) ?v_742) (=> (and x240 ?v_776) ?v_749) (=> (and x240 ?v_777) ?v_749) (=> (and x240 ?v_778) ?v_762) (=> (and x240 ?v_779) ?v_742) (=> (and x240 ?v_780) ?v_749) (=> (and x240 ?v_781) ?v_749) (=> (and x240 ?v_782) ?v_762) (=> (and x240 ?v_783) ?v_749) (=> (and x240 ?v_784) ?v_762) (=> (and x240 ?v_785) ?v_762) (=> (and x240 ?v_786) ?v_787) (=> (and x240 ?v_788) ?v_742) (=> (and x240 ?v_789) ?v_749) (=> (and x240 ?v_790) ?v_749) (=> (and x240 ?v_791) ?v_762) (=> (and x240 ?v_792) ?v_749) (=> (and x240 ?v_793) ?v_762) (=> (and x240 ?v_794) ?v_762) (=> (and x240 ?v_795) ?v_787) (=> (and x240 ?v_796) ?v_749) (=> (and x240 ?v_797) ?v_762) (=> (and x240 ?v_798) ?v_762) (=> (and x240 ?v_799) ?v_787) (=> (and x240 ?v_800) ?v_762) (=> (and x240 ?v_801) ?v_787) (=> (and x240 ?v_802) ?v_787) (=> (and x240 ?v_803) (= tmp66 1800)) (=> (and ?v_804 ?v_843) (= tmp65 0)) (=> (and ?v_804 ?v_844) ?v_810) (=> (and ?v_804 ?v_845) ?v_810) (=> (and ?v_804 ?v_846) ?v_814) (=> (and ?v_804 ?v_847) ?v_810) (=> (and ?v_804 ?v_848) ?v_814) (=> (and ?v_804 ?v_849) ?v_814) (=> (and ?v_804 ?v_850) ?v_821) (=> (and ?v_804 ?v_851) ?v_810) (=> (and ?v_804 ?v_852) ?v_814) (=> (and ?v_804 ?v_853) ?v_814) (=> (and ?v_804 ?v_854) ?v_821) (=> (and ?v_804 ?v_855) ?v_814) (=> (and ?v_804 ?v_856) ?v_821) (=> (and ?v_804 ?v_857) ?v_821) (=> (and ?v_804 ?v_858) ?v_834) (=> (and ?v_804 ?v_860) ?v_810) (=> (and ?v_804 ?v_861) ?v_814) (=> (and ?v_804 ?v_862) ?v_814) (=> (and ?v_804 ?v_863) ?v_821) (=> (and ?v_804 ?v_864) ?v_814) (=> (and ?v_804 ?v_865) ?v_821) (=> (and ?v_804 ?v_866) ?v_821) (=> (and ?v_804 ?v_867) ?v_834) (=> (and ?v_804 ?v_868) ?v_814) (=> (and ?v_804 ?v_869) ?v_821) (=> (and ?v_804 ?v_870) ?v_821) (=> (and ?v_804 ?v_871) ?v_834) (=> (and ?v_804 ?v_872) ?v_821) (=> (and ?v_804 ?v_873) ?v_834) (=> (and ?v_804 ?v_874) ?v_834) (=> (and ?v_804 ?v_875) ?v_859) (=> (and x177 ?v_843) ?v_810) (=> (and x177 ?v_844) ?v_814) (=> (and x177 ?v_845) ?v_814) (=> (and x177 ?v_846) ?v_821) (=> (and x177 ?v_847) ?v_814) (=> (and x177 ?v_848) ?v_821) (=> (and x177 ?v_849) ?v_821) (=> (and x177 ?v_850) ?v_834) (=> (and x177 ?v_851) ?v_814) (=> (and x177 ?v_852) ?v_821) (=> (and x177 ?v_853) ?v_821) (=> (and x177 ?v_854) ?v_834) (=> (and x177 ?v_855) ?v_821) (=> (and x177 ?v_856) ?v_834) (=> (and x177 ?v_857) ?v_834) (=> (and x177 ?v_858) ?v_859) (=> (and x177 ?v_860) ?v_814) (=> (and x177 ?v_861) ?v_821) (=> (and x177 ?v_862) ?v_821) (=> (and x177 ?v_863) ?v_834) (=> (and x177 ?v_864) ?v_821) (=> (and x177 ?v_865) ?v_834) (=> (and x177 ?v_866) ?v_834) (=> (and x177 ?v_867) ?v_859) (=> (and x177 ?v_868) ?v_821) (=> (and x177 ?v_869) ?v_834) (=> (and x177 ?v_870) ?v_834) (=> (and x177 ?v_871) ?v_859) (=> (and x177 ?v_872) ?v_834) (=> (and x177 ?v_873) ?v_859) (=> (and x177 ?v_874) ?v_859) (=> (and x177 ?v_875) (= tmp65 600)) (=> (and ?v_732 true) (= tmp64 0)) (=> (and x240 true) (= tmp64 (- 100))) (=> (and ?v_733 true) (= tmp63 0)) (=> (and x239 true) (= tmp63 (- 100))) (=> (and ?v_734 true) (= tmp62 0)) (=> (and x238 true) (= tmp62 (- 100))) (=> (and ?v_735 true) (= tmp61 0)) (=> (and x237 true) (= tmp61 (- 100))) (=> (and ?v_736 true) (= tmp60 0)) (=> (and x236 true) (= tmp60 (- 100))) (=> ?v_737 (= tmp59 0)) (=> ?v_739 (= tmp59 (- 100))) (=> (and ?v_578 true) (= tmp58 0)) (=> (and x234 true) (= tmp58 (- 100))) (=> (and ?v_579 true) (= tmp57 0)) (=> (and x233 true) (= tmp57 (- 100))) (=> (and ?v_580 true) (= tmp56 0)) (=> (and x232 true) (= tmp56 (- 240))) (=> (and ?v_581 true) (= tmp55 0)) (=> (and x231 true) (= tmp55 (- 240))) (=> (and ?v_582 true) (= tmp54 0)) (=> (and x230 true) (= tmp54 (- 240))) (=> ?v_583 (= tmp53 0)) (=> ?v_585 (= tmp53 (- 240))) (=> (and ?v_430 true) (= tmp52 0)) (=> (and x228 true) (= tmp52 (- 240))) (=> (and ?v_431 true) (= tmp51 0)) (=> (and x227 true) (= tmp51 (- 240))) (=> (and ?v_432 true) (= tmp50 0)) (=> (and x226 true) (= tmp50 (- 240))) (=> (and ?v_433 true) (= tmp49 0)) (=> (and x225 true) (= tmp49 (- 240))) (=> (and ?v_434 true) (= tmp48 0)) (=> (and x224 true) (= tmp48 (- 400))) (=> ?v_435 (= tmp47 0)) (=> ?v_437 (= tmp47 (- 400))) (=> (and ?v_286 true) (= tmp46 0)) (=> (and x222 true) (= tmp46 (- 400))) (=> (and ?v_287 true) (= tmp45 0)) (=> (and x221 true) (= tmp45 (- 400))) (=> (and ?v_288 true) (= tmp44 0)) (=> (and x220 true) (= tmp44 (- 400))) (=> (and ?v_289 true) (= tmp43 0)) (=> (and x219 true) (= tmp43 (- 350))) (=> (and ?v_290 true) (= tmp42 0)) (=> (and x218 true) (= tmp42 (- 350))) (=> ?v_291 (= tmp41 0)) (=> ?v_293 (= tmp41 (- 350))) (=> (and ?v_142 true) (= tmp40 0)) (=> (and x216 true) (= tmp40 (- 160))) (=> (and ?v_143 true) (= tmp39 0)) (=> (and x215 true) (= tmp39 (- 160))) (=> (and ?v_144 true) (= tmp38 0)) (=> (and x214 true) (= tmp38 (- 160))) (=> (and ?v_145 true) (= tmp37 0)) (=> (and x213 true) (= tmp37 (- 160))) (=> (and ?v_146 true) (= tmp36 0)) (=> (and x212 true) (= tmp36 (- 160))) (=> ?v_147 (= tmp35 0)) (=> ?v_149 (= tmp35 (- 160))) (=> ?v_123 (= tmp34 0)) (=> ?v_125 (= tmp34 (- 160))) (=> (and ?v_122 true) (= tmp33 0)) (=> (and x209 true) (= tmp33 (- 160))) (=> (and ?v_121 true) (= tmp32 0)) (=> (and x208 true) (= tmp32 (- 500))) (=> (and ?v_120 true) (= tmp31 0)) (=> (and x207 true) (= tmp31 (- 400))) (=> ?v_219 (= tmp30 0)) (=> ?v_221 (= tmp30 (- 400))) (=> (and ?v_218 true) (= tmp29 0)) (=> (and x205 true) (= tmp29 (- 400))) (=> (and ?v_217 true) (= tmp28 0)) (=> (and x204 true) (= tmp28 (- 400))) (=> (and ?v_216 true) (= tmp27 0)) (=> (and x203 true) (= tmp27 (- 350))) (=> (and ?v_215 true) (= tmp26 0)) (=> (and x202 true) (= tmp26 (- 350))) (=> (and ?v_214 true) (= tmp25 0)) (=> (and x201 true) (= tmp25 (- 350))) (=> ?v_363 (= tmp24 0)) (=> ?v_365 (= tmp24 (- 500))) (=> (and ?v_362 true) (= tmp23 0)) (=> (and x199 true) (= tmp23 (- 400))) (=> (and ?v_361 true) (= tmp22 0)) (=> (and x198 true) (= tmp22 (- 400))) (=> (and ?v_360 true) (= tmp21 0)) (=> (and x197 true) (= tmp21 (- 400))) (=> (and ?v_359 true) (= tmp20 0)) (=> (and x196 true) (= tmp20 (- 400))) (=> (and ?v_358 true) (= tmp19 0)) (=> (and x195 true) (= tmp19 (- 350))) (=> ?v_511 (= tmp18 0)) (=> ?v_513 (= tmp18 (- 350))) (=> (and ?v_510 true) (= tmp17 0)) (=> (and x193 true) (= tmp17 (- 350))) (=> (and ?v_509 true) (= tmp16 0)) (=> (and x192 true) (= tmp16 (- 240))) (=> (and ?v_508 true) (= tmp15 0)) (=> (and x191 true) (= tmp15 (- 240))) (=> (and ?v_507 true) (= tmp14 0)) (=> (and x190 true) (= tmp14 (- 240))) (=> (and ?v_506 true) (= tmp13 0)) (=> (and x189 true) (= tmp13 (- 240))) (=> ?v_661 (= tmp12 0)) (=> ?v_663 (= tmp12 (- 240))) (=> (and ?v_660 true) (= tmp11 0)) (=> (and x187 true) (= tmp11 (- 240))) (=> (and ?v_659 true) (= tmp10 0)) (=> (and x186 true) (= tmp10 (- 240))) (=> (and ?v_658 true) (= tmp9 0)) (=> (and x185 true) (= tmp9 (- 240))) (=> (and ?v_657 true) (= tmp8 0)) (=> (and x184 true) (= tmp8 (- 420))) (=> (and ?v_656 true) (= tmp7 0)) (=> (and x183 true) (= tmp7 (- 400))) (=> ?v_809 (= tmp6 0)) (=> ?v_811 (= tmp6 (- 400))) (=> (and ?v_808 true) (= tmp5 0)) (=> (and x181 true) (= tmp5 (- 400))) (=> (and ?v_807 true) (= tmp4 0)) (=> (and x180 true) (= tmp4 (- 400))) (=> (and ?v_806 true) (= tmp3 0)) (=> (and x179 true) (= tmp3 (- 350))) (=> (and ?v_805 true) (= tmp2 0)) (=> (and x178 true) (= tmp2 (- 350))) (=> (and ?v_804 true) (= tmp1 0)) (=> (and x177 true) (= tmp1 (- 350))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))
+(check-sat)
+(exit)
diff --git a/test/regress/regress2/bug396.smt2 b/test/regress/regress2/bug396.smt2
new file mode 100644
index 000000000..8e93cf447
--- /dev/null
+++ b/test/regress/regress2/bug396.smt2
@@ -0,0 +1,3294 @@
+; COMMAND-LINE: --incremental
+; EXPECT: sat
+; EXPECT: sat
+; EXPECT: sat
+; EXPECT: sat
+; EXPECT: sat
+; EXPECT: sat
+; EXPECT: sat
+; EXPECT: unsat
+; EXPECT: unsat
+; EXPECT: unsat
+;(set-option :produce-unsat-cores true)
+(set-option :print-success false)
+(set-info :smt-lib-version 2.0)
+;(set-option :produce-models true)
+(set-logic ALL_SUPPORTED)
+; done setting options
+
+; Boogie universal background predicate
+; Copyright (c) 2004-2010, Microsoft Corp.
+(set-info :category "industrial")
+(declare-sort |T@U| 0)
+(declare-sort |T@T| 0)
+(declare-fun int_div (Int Int) Int)
+(declare-fun int_mod (Int Int) Int)
+(declare-fun UOrdering2 (|T@U| |T@U|) Bool)
+(declare-fun UOrdering3 (|T@T| |T@U| |T@U|) Bool)
+
+(declare-fun group_size_y () (_ BitVec 32))
+(declare-fun group_size_z () (_ BitVec 32))
+(declare-fun num_groups_y () (_ BitVec 32))
+(declare-fun num_groups_z () (_ BitVec 32))
+(declare-fun group_size_x () (_ BitVec 32))
+(declare-fun num_groups_x () (_ BitVec 32))
+(declare-fun ControlFlow (Int Int) Int)
+(declare-fun %lbl%+8971 () Bool)
+(declare-fun call3746formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun v1$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@30054 () Bool)
+(declare-fun _P$2 () Bool)
+(declare-fun _WRITE_HAS_OCCURRED_$$newVelocity$1@3 () Bool)
+(declare-fun _WRITE_OFFSET_$$newVelocity$1@3 () (_ BitVec 32))
+(declare-fun %lbl%@30066 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$newVelocity$1 () Bool)
+(declare-fun _READ_OFFSET_$$newVelocity$1 () (_ BitVec 32))
+(declare-fun %lbl%+8965 () Bool)
+(declare-fun _P$1 () Bool)
+(declare-fun inline$_LOG_WRITE_$$newVelocity$3$track@0 () Bool)
+(declare-fun _WRITE_HAS_OCCURRED_$$newVelocity$1@2 () Bool)
+(declare-fun inline$_LOG_WRITE_$$newVelocity$3$_offset$1@0 () (_ BitVec 32))
+(declare-fun _WRITE_OFFSET_$$newVelocity$1@2 () (_ BitVec 32))
+(declare-fun _WRITE_SOURCE_$$newVelocity$1@3 () (_ BitVec 32))
+(declare-fun _WRITE_SOURCE_$$newVelocity$1@2 () (_ BitVec 32))
+(declare-fun %lbl%+8963 () Bool)
+(declare-fun v1$1@0 () (_ BitVec 32))
+(declare-fun %lbl%+8969 () Bool)
+(declare-fun call3709formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@29931 () Bool)
+(declare-fun %lbl%@29943 () Bool)
+(declare-fun %lbl%@29957 () Bool)
+(declare-fun %lbl%+8883 () Bool)
+(declare-fun inline$_LOG_WRITE_$$newVelocity$2$track@0 () Bool)
+(declare-fun _WRITE_HAS_OCCURRED_$$newVelocity$1@1 () Bool)
+(declare-fun inline$_LOG_WRITE_$$newVelocity$2$_offset$1@0 () (_ BitVec 32))
+(declare-fun _WRITE_OFFSET_$$newVelocity$1@1 () (_ BitVec 32))
+(declare-fun _WRITE_SOURCE_$$newVelocity$1@1 () (_ BitVec 32))
+(declare-fun %lbl%+8881 () Bool)
+(declare-fun %lbl%+8887 () Bool)
+(declare-fun call3672formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@29808 () Bool)
+(declare-fun %lbl%@29820 () Bool)
+(declare-fun %lbl%@29834 () Bool)
+(declare-fun %lbl%+8801 () Bool)
+(declare-fun inline$_LOG_WRITE_$$newVelocity$1$track@0 () Bool)
+(declare-fun _WRITE_HAS_OCCURRED_$$newVelocity$1@0 () Bool)
+(declare-fun inline$_LOG_WRITE_$$newVelocity$1$_offset$1@0 () (_ BitVec 32))
+(declare-fun _WRITE_OFFSET_$$newVelocity$1@0 () (_ BitVec 32))
+(declare-fun _WRITE_SOURCE_$$newVelocity$1@0 () (_ BitVec 32))
+(declare-fun %lbl%+8799 () Bool)
+(declare-fun %lbl%+8805 () Bool)
+(declare-fun call3635formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@29685 () Bool)
+(declare-fun %lbl%@29697 () Bool)
+(declare-fun %lbl%@29711 () Bool)
+(declare-fun %lbl%+8719 () Bool)
+(declare-fun inline$_LOG_WRITE_$$newVelocity$0$track@0 () Bool)
+(declare-fun _WRITE_HAS_OCCURRED_$$newVelocity$1 () Bool)
+(declare-fun inline$_LOG_WRITE_$$newVelocity$0$_offset$1@0 () (_ BitVec 32))
+(declare-fun _WRITE_OFFSET_$$newVelocity$1 () (_ BitVec 32))
+(declare-fun _WRITE_SOURCE_$$newVelocity$1 () (_ BitVec 32))
+(declare-fun %lbl%+8717 () Bool)
+(declare-fun %lbl%+8723 () Bool)
+(declare-fun call3604formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@29564 () Bool)
+(declare-fun _WRITE_HAS_OCCURRED_$$newPosition$1@3 () Bool)
+(declare-fun _WRITE_OFFSET_$$newPosition$1@3 () (_ BitVec 32))
+(declare-fun %lbl%@29576 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$newPosition$1 () Bool)
+(declare-fun _READ_OFFSET_$$newPosition$1 () (_ BitVec 32))
+(declare-fun %lbl%@29590 () Bool)
+(declare-fun %lbl%+8637 () Bool)
+(declare-fun inline$_LOG_WRITE_$$newPosition$3$track@0 () Bool)
+(declare-fun _WRITE_HAS_OCCURRED_$$newPosition$1@2 () Bool)
+(declare-fun inline$_LOG_WRITE_$$newPosition$3$_offset$1@0 () (_ BitVec 32))
+(declare-fun _WRITE_OFFSET_$$newPosition$1@2 () (_ BitVec 32))
+(declare-fun _WRITE_SOURCE_$$newPosition$1@3 () (_ BitVec 32))
+(declare-fun _WRITE_SOURCE_$$newPosition$1@2 () (_ BitVec 32))
+(declare-fun %lbl%+8635 () Bool)
+(declare-fun %lbl%+8641 () Bool)
+(declare-fun call3567formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@29441 () Bool)
+(declare-fun %lbl%@29453 () Bool)
+(declare-fun %lbl%@29467 () Bool)
+(declare-fun %lbl%+8555 () Bool)
+(declare-fun inline$_LOG_WRITE_$$newPosition$2$track@0 () Bool)
+(declare-fun _WRITE_HAS_OCCURRED_$$newPosition$1@1 () Bool)
+(declare-fun inline$_LOG_WRITE_$$newPosition$2$_offset$1@0 () (_ BitVec 32))
+(declare-fun _WRITE_OFFSET_$$newPosition$1@1 () (_ BitVec 32))
+(declare-fun _WRITE_SOURCE_$$newPosition$1@1 () (_ BitVec 32))
+(declare-fun %lbl%+8553 () Bool)
+(declare-fun %lbl%+8559 () Bool)
+(declare-fun call3530formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@29318 () Bool)
+(declare-fun %lbl%@29330 () Bool)
+(declare-fun %lbl%@29344 () Bool)
+(declare-fun %lbl%+8473 () Bool)
+(declare-fun inline$_LOG_WRITE_$$newPosition$1$track@0 () Bool)
+(declare-fun _WRITE_HAS_OCCURRED_$$newPosition$1@0 () Bool)
+(declare-fun inline$_LOG_WRITE_$$newPosition$1$_offset$1@0 () (_ BitVec 32))
+(declare-fun _WRITE_OFFSET_$$newPosition$1@0 () (_ BitVec 32))
+(declare-fun _WRITE_SOURCE_$$newPosition$1@0 () (_ BitVec 32))
+(declare-fun %lbl%+8471 () Bool)
+(declare-fun %lbl%+8477 () Bool)
+(declare-fun call3493formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@29195 () Bool)
+(declare-fun %lbl%@29207 () Bool)
+(declare-fun %lbl%@29221 () Bool)
+(declare-fun %lbl%+8391 () Bool)
+(declare-fun inline$_LOG_WRITE_$$newPosition$0$track@0 () Bool)
+(declare-fun _WRITE_HAS_OCCURRED_$$newPosition$1 () Bool)
+(declare-fun inline$_LOG_WRITE_$$newPosition$0$_offset$1@0 () (_ BitVec 32))
+(declare-fun _WRITE_OFFSET_$$newPosition$1 () (_ BitVec 32))
+(declare-fun _WRITE_SOURCE_$$newPosition$1 () (_ BitVec 32))
+(declare-fun %lbl%+8389 () Bool)
+(declare-fun %lbl%+8395 () Bool)
+(declare-fun call3462formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@29086 () Bool)
+(declare-fun _WRITE_HAS_OCCURRED_$$vel$1 () Bool)
+(declare-fun _WRITE_OFFSET_$$vel$1 () (_ BitVec 32))
+(declare-fun %lbl%@29100 () Bool)
+(declare-fun %lbl%+8309 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$vel$1@3 () Bool)
+(declare-fun inline$_LOG_READ_$$vel$3$track@0 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$vel$1@2 () Bool)
+(declare-fun _READ_OFFSET_$$vel$1@3 () (_ BitVec 32))
+(declare-fun inline$_LOG_READ_$$vel$3$_offset$1@0 () (_ BitVec 32))
+(declare-fun _READ_OFFSET_$$vel$1@2 () (_ BitVec 32))
+(declare-fun _READ_SOURCE_$$vel$1@3 () (_ BitVec 32))
+(declare-fun _READ_SOURCE_$$vel$1@2 () (_ BitVec 32))
+(declare-fun %lbl%+8307 () Bool)
+(declare-fun %lbl%+8313 () Bool)
+(declare-fun call3409formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@28944 () Bool)
+(declare-fun %lbl%@28958 () Bool)
+(declare-fun v26$1@0 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$1@18 () (_ BitVec 32))
+(declare-fun v26$1 () (_ BitVec 32))
+(declare-fun v26$2@0 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$2@18 () (_ BitVec 32))
+(declare-fun v26$2 () (_ BitVec 32))
+(declare-fun %lbl%+8227 () Bool)
+(declare-fun inline$_LOG_READ_$$vel$2$track@0 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$vel$1@1 () Bool)
+(declare-fun inline$_LOG_READ_$$vel$2$_offset$1@0 () (_ BitVec 32))
+(declare-fun _READ_OFFSET_$$vel$1@1 () (_ BitVec 32))
+(declare-fun _READ_SOURCE_$$vel$1@1 () (_ BitVec 32))
+(declare-fun %lbl%+8225 () Bool)
+(declare-fun %lbl%+8231 () Bool)
+(declare-fun call3356formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@28802 () Bool)
+(declare-fun %lbl%@28816 () Bool)
+(declare-fun v25$1@0 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$1@17 () (_ BitVec 32))
+(declare-fun v25$1 () (_ BitVec 32))
+(declare-fun v25$2@0 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$2@17 () (_ BitVec 32))
+(declare-fun v25$2 () (_ BitVec 32))
+(declare-fun %lbl%+8145 () Bool)
+(declare-fun inline$_LOG_READ_$$vel$1$track@0 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$vel$1@0 () Bool)
+(declare-fun inline$_LOG_READ_$$vel$1$_offset$1@0 () (_ BitVec 32))
+(declare-fun _READ_OFFSET_$$vel$1@0 () (_ BitVec 32))
+(declare-fun _READ_SOURCE_$$vel$1@0 () (_ BitVec 32))
+(declare-fun %lbl%+8143 () Bool)
+(declare-fun %lbl%+8149 () Bool)
+(declare-fun call3303formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@28660 () Bool)
+(declare-fun %lbl%@28674 () Bool)
+(declare-fun v24$1@0 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$1@16 () (_ BitVec 32))
+(declare-fun v24$1 () (_ BitVec 32))
+(declare-fun v24$2@0 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$2@16 () (_ BitVec 32))
+(declare-fun v24$2 () (_ BitVec 32))
+(declare-fun %lbl%+8063 () Bool)
+(declare-fun inline$_LOG_READ_$$vel$0$track@0 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$vel$1 () Bool)
+(declare-fun inline$_LOG_READ_$$vel$0$_offset$1@0 () (_ BitVec 32))
+(declare-fun _READ_OFFSET_$$vel$1 () (_ BitVec 32))
+(declare-fun _READ_SOURCE_$$vel$1 () (_ BitVec 32))
+(declare-fun %lbl%+8061 () Bool)
+(declare-fun %lbl%+8067 () Bool)
+(declare-fun p0$1@3 () Bool)
+(declare-fun p0$2@3 () Bool)
+(declare-fun %lbl%@28534 () Bool)
+(declare-fun v23$1@0 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$1@15 () (_ BitVec 32))
+(declare-fun v23$1 () (_ BitVec 32))
+(declare-fun v23$2@0 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$2@15 () (_ BitVec 32))
+(declare-fun v23$2 () (_ BitVec 32))
+(declare-fun %lbl%+8973 () Bool)
+(declare-fun %lbl%@27591 () Bool)
+(declare-fun _b10 () Bool)
+(declare-fun _WRITE_HAS_OCCURRED_$$localPos$1@4 () Bool)
+(declare-fun _WRITE_OFFSET_$$localPos$1@4 () (_ BitVec 32))
+(declare-fun local_id_x$1 () (_ BitVec 32))
+(declare-fun %lbl%@27652 () Bool)
+(declare-fun _b9 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$localPos$1@6 () Bool)
+(declare-fun _READ_OFFSET_$$localPos$1@6 () (_ BitVec 32))
+(declare-fun %lbl%@27848 () Bool)
+(declare-fun _b8 () Bool)
+(declare-fun %lbl%@27855 () Bool)
+(declare-fun _b7 () Bool)
+(declare-fun %lbl%@27862 () Bool)
+(declare-fun _b6 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$pos$1@8 () Bool)
+(declare-fun _READ_OFFSET_$$pos$1@8 () (_ BitVec 32))
+(declare-fun %lbl%@28083 () Bool)
+(declare-fun _b5 () Bool)
+(declare-fun %lbl%@28090 () Bool)
+(declare-fun _b4 () Bool)
+(declare-fun $j.0$1@3 () (_ BitVec 32))
+(declare-fun $j.0$2@3 () (_ BitVec 32))
+(declare-fun %lbl%@28104 () Bool)
+(declare-fun _b3 () Bool)
+(declare-fun $acc.1$1@3 () (_ BitVec 128))
+(declare-fun $acc.1$2@3 () (_ BitVec 128))
+(declare-fun %lbl%@28118 () Bool)
+(declare-fun _b2 () Bool)
+(declare-fun $i.0$1@2 () (_ BitVec 32))
+(declare-fun $i.0$2@2 () (_ BitVec 32))
+(declare-fun %lbl%@28132 () Bool)
+(declare-fun _b1 () Bool)
+(declare-fun $acc.0$1@2 () (_ BitVec 128))
+(declare-fun $acc.0$2@2 () (_ BitVec 128))
+(declare-fun %lbl%@28146 () Bool)
+(declare-fun _b0 () Bool)
+(declare-fun %lbl%@28154 () Bool)
+(declare-fun %lbl%@28188 () Bool)
+(declare-fun %lbl%@28194 () Bool)
+(declare-fun %lbl%@28206 () Bool)
+(declare-fun _WRITE_SOURCE_$$vel$1 () (_ BitVec 32))
+(declare-fun %lbl%@28218 () Bool)
+(declare-fun _READ_SOURCE_$$pos$1@8 () (_ BitVec 32))
+(declare-fun %lbl%@28275 () Bool)
+(declare-fun _WRITE_HAS_OCCURRED_$$pos$1 () Bool)
+(declare-fun %lbl%@28281 () Bool)
+(declare-fun %lbl%@28291 () Bool)
+(declare-fun _WRITE_SOURCE_$$pos$1 () (_ BitVec 32))
+(declare-fun %lbl%@28303 () Bool)
+(declare-fun _READ_SOURCE_$$localPos$1@6 () (_ BitVec 32))
+(declare-fun %lbl%@28339 () Bool)
+(declare-fun _WRITE_SOURCE_$$localPos$1@4 () (_ BitVec 32))
+(declare-fun %lbl%@28368 () Bool)
+(declare-fun %lbl%@28378 () Bool)
+(declare-fun %lbl%@28388 () Bool)
+(declare-fun %lbl%@28394 () Bool)
+(declare-fun %lbl%@28428 () Bool)
+(declare-fun _READ_SOURCE_$$newVelocity$1 () (_ BitVec 32))
+(declare-fun %lbl%@28440 () Bool)
+(declare-fun %lbl%@28452 () Bool)
+(declare-fun %lbl%@28458 () Bool)
+(declare-fun %lbl%@28492 () Bool)
+(declare-fun _READ_SOURCE_$$newPosition$1 () (_ BitVec 32))
+(declare-fun %lbl%@28504 () Bool)
+(declare-fun %lbl%@28516 () Bool)
+(declare-fun %lbl%@28521 () Bool)
+(declare-fun %lbl%+7985 () Bool)
+(declare-fun p1$1@1 () Bool)
+(declare-fun $acc.0$1@1 () (_ BitVec 128))
+(declare-fun p1$2@1 () Bool)
+(declare-fun $acc.0$2@1 () (_ BitVec 128))
+(declare-fun $i.0$1@1 () (_ BitVec 32))
+(declare-fun $i.0$2@1 () (_ BitVec 32))
+(declare-fun p0$1@2 () Bool)
+(declare-fun p0$2@2 () Bool)
+(declare-fun %lbl%+7981 () Bool)
+(declare-fun %lbl%+7969 () Bool)
+(declare-fun inline$$bugle_barrier$1$$1$2@1 () (_ BitVec 1))
+(declare-fun %lbl%+7971 () Bool)
+(declare-fun %lbl%+7967 () Bool)
+(declare-fun %lbl%+7965 () Bool)
+(declare-fun inline$$bugle_barrier$1$$1$1@1 () (_ BitVec 1))
+(declare-fun %lbl%+7973 () Bool)
+(declare-fun group_id_x$1 () (_ BitVec 32))
+(declare-fun group_id_x$2 () (_ BitVec 32))
+(declare-fun group_id_y$1 () (_ BitVec 32))
+(declare-fun group_id_y$2 () (_ BitVec 32))
+(declare-fun group_id_z$1 () (_ BitVec 32))
+(declare-fun group_id_z$2 () (_ BitVec 32))
+(declare-fun %lbl%+7963 () Bool)
+(declare-fun %lbl%+7961 () Bool)
+(declare-fun inline$$bugle_barrier$1$$0$2@1 () (_ BitVec 1))
+(declare-fun %lbl%+7975 () Bool)
+(declare-fun %lbl%+7959 () Bool)
+(declare-fun %lbl%+7957 () Bool)
+(declare-fun inline$$bugle_barrier$1$$0$1@1 () (_ BitVec 1))
+(declare-fun %lbl%+7977 () Bool)
+(declare-fun %lbl%+7955 () Bool)
+(declare-fun %lbl%+7979 () Bool)
+(declare-fun %lbl%+7951 () Bool)
+(declare-fun %lbl%@27100 () Bool)
+(declare-fun %lbl%+7983 () Bool)
+(declare-fun p2$1@4 () Bool)
+(declare-fun p2$2@4 () Bool)
+(declare-fun %lbl%+8975 () Bool)
+(declare-fun %lbl%@26466 () Bool)
+(declare-fun _b11 () Bool)
+(declare-fun %lbl%@26662 () Bool)
+(declare-fun %lbl%@26696 () Bool)
+(declare-fun %lbl%@26702 () Bool)
+(declare-fun %lbl%@26714 () Bool)
+(declare-fun %lbl%@26726 () Bool)
+(declare-fun %lbl%@26783 () Bool)
+(declare-fun %lbl%@26789 () Bool)
+(declare-fun %lbl%@26799 () Bool)
+(declare-fun %lbl%@26811 () Bool)
+(declare-fun %lbl%@26847 () Bool)
+(declare-fun %lbl%@26876 () Bool)
+(declare-fun %lbl%@26886 () Bool)
+(declare-fun %lbl%@26896 () Bool)
+(declare-fun %lbl%@26902 () Bool)
+(declare-fun %lbl%@26936 () Bool)
+(declare-fun %lbl%@26948 () Bool)
+(declare-fun %lbl%@26960 () Bool)
+(declare-fun %lbl%@26966 () Bool)
+(declare-fun %lbl%@27000 () Bool)
+(declare-fun %lbl%@27012 () Bool)
+(declare-fun %lbl%@27024 () Bool)
+(declare-fun %lbl%@27028 () Bool)
+(declare-fun %lbl%+7448 () Bool)
+(declare-fun call3008formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun $j.0$2@2 () (_ BitVec 32))
+(declare-fun %lbl%@26241 () Bool)
+(declare-fun p3$2@2 () Bool)
+(declare-fun v22$1@2 () (_ BitVec 32))
+(declare-fun p3$1@2 () Bool)
+(declare-fun FMUL32 ((_ BitVec 32) (_ BitVec 32)) (_ BitVec 32))
+(declare-fun v21$1@2 () (_ BitVec 32))
+(declare-fun v20$1@2 () (_ BitVec 32))
+(declare-fun v22$1@1 () (_ BitVec 32))
+(declare-fun v22$2@2 () (_ BitVec 32))
+(declare-fun v21$2@2 () (_ BitVec 32))
+(declare-fun v20$2@2 () (_ BitVec 32))
+(declare-fun v22$2@1 () (_ BitVec 32))
+(declare-fun FADD32 ((_ BitVec 32) (_ BitVec 32)) (_ BitVec 32))
+(declare-fun $acc.1$1@2 () (_ BitVec 128))
+(declare-fun FSUB32 ((_ BitVec 32) (_ BitVec 32)) (_ BitVec 32))
+(declare-fun v16$1@2 () (_ BitVec 32))
+(declare-fun v6$1@0 () (_ BitVec 32))
+(declare-fun v19$1@2 () (_ BitVec 32))
+(declare-fun v18$1@2 () (_ BitVec 32))
+(declare-fun v17$1@2 () (_ BitVec 32))
+(declare-fun $acc.1$2@2 () (_ BitVec 128))
+(declare-fun v16$2@2 () (_ BitVec 32))
+(declare-fun v6$2@0 () (_ BitVec 32))
+(declare-fun v19$2@2 () (_ BitVec 32))
+(declare-fun v18$2@2 () (_ BitVec 32))
+(declare-fun v17$2@2 () (_ BitVec 32))
+(declare-fun $j.0$1@2 () (_ BitVec 32))
+(declare-fun p2$1@3 () Bool)
+(declare-fun p2$2@3 () Bool)
+(declare-fun %lbl%+7442 () Bool)
+(declare-fun inline$_LOG_READ_$$localPos$4$track@2 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$localPos$1@5 () Bool)
+(declare-fun inline$_LOG_READ_$$localPos$4$_offset$1@2 () (_ BitVec 32))
+(declare-fun _READ_OFFSET_$$localPos$1@5 () (_ BitVec 32))
+(declare-fun _READ_SOURCE_$$localPos$1@5 () (_ BitVec 32))
+(declare-fun %lbl%+7440 () Bool)
+(declare-fun %lbl%+7446 () Bool)
+(declare-fun call2839formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@25932 () Bool)
+(declare-fun v13$1@2 () (_ BitVec 32))
+(declare-fun v3$1@0 () (_ BitVec 32))
+(declare-fun v17$1@1 () (_ BitVec 32))
+(declare-fun v13$2@2 () (_ BitVec 32))
+(declare-fun v3$2@0 () (_ BitVec 32))
+(declare-fun v17$2@1 () (_ BitVec 32))
+(declare-fun v14$1@2 () (_ BitVec 32))
+(declare-fun v4$1@0 () (_ BitVec 32))
+(declare-fun v18$1@1 () (_ BitVec 32))
+(declare-fun v14$2@2 () (_ BitVec 32))
+(declare-fun v4$2@0 () (_ BitVec 32))
+(declare-fun v18$2@1 () (_ BitVec 32))
+(declare-fun v15$1@2 () (_ BitVec 32))
+(declare-fun v5$1@0 () (_ BitVec 32))
+(declare-fun v19$1@1 () (_ BitVec 32))
+(declare-fun v15$2@2 () (_ BitVec 32))
+(declare-fun v5$2@0 () (_ BitVec 32))
+(declare-fun v19$2@1 () (_ BitVec 32))
+(declare-fun FDIV32 ((_ BitVec 32) (_ BitVec 32)) (_ BitVec 32))
+(declare-fun FSQRT32 ((_ BitVec 32)) (_ BitVec 32))
+(declare-fun $epsSqr$1 () (_ BitVec 32))
+(declare-fun v20$1@1 () (_ BitVec 32))
+(declare-fun $epsSqr$2 () (_ BitVec 32))
+(declare-fun v20$2@1 () (_ BitVec 32))
+(declare-fun %lbl%@26120 () Bool)
+(declare-fun _HAVOC_bv32$1@14 () (_ BitVec 32))
+(declare-fun v21$1@1 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$2@14 () (_ BitVec 32))
+(declare-fun v21$2@1 () (_ BitVec 32))
+(declare-fun %lbl%+7360 () Bool)
+(declare-fun inline$_LOG_READ_$$localPos$3$track@2 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$localPos$1@4 () Bool)
+(declare-fun inline$_LOG_READ_$$localPos$3$_offset$1@2 () (_ BitVec 32))
+(declare-fun _READ_OFFSET_$$localPos$1@4 () (_ BitVec 32))
+(declare-fun _READ_SOURCE_$$localPos$1@4 () (_ BitVec 32))
+(declare-fun %lbl%+7358 () Bool)
+(declare-fun %lbl%+7364 () Bool)
+(declare-fun call2786formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@25775 () Bool)
+(declare-fun %lbl%@25811 () Bool)
+(declare-fun _HAVOC_bv32$1@13 () (_ BitVec 32))
+(declare-fun v16$1@1 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$2@13 () (_ BitVec 32))
+(declare-fun v16$2@1 () (_ BitVec 32))
+(declare-fun %lbl%+7278 () Bool)
+(declare-fun inline$_LOG_READ_$$localPos$2$track@2 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$localPos$1@3 () Bool)
+(declare-fun inline$_LOG_READ_$$localPos$2$_offset$1@2 () (_ BitVec 32))
+(declare-fun _READ_OFFSET_$$localPos$1@3 () (_ BitVec 32))
+(declare-fun _READ_SOURCE_$$localPos$1@3 () (_ BitVec 32))
+(declare-fun %lbl%+7276 () Bool)
+(declare-fun %lbl%+7282 () Bool)
+(declare-fun call2733formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@25618 () Bool)
+(declare-fun %lbl%@25654 () Bool)
+(declare-fun _HAVOC_bv32$1@12 () (_ BitVec 32))
+(declare-fun v15$1@1 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$2@12 () (_ BitVec 32))
+(declare-fun v15$2@1 () (_ BitVec 32))
+(declare-fun %lbl%+7196 () Bool)
+(declare-fun inline$_LOG_READ_$$localPos$1$track@2 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$localPos$1@2 () Bool)
+(declare-fun inline$_LOG_READ_$$localPos$1$_offset$1@2 () (_ BitVec 32))
+(declare-fun _READ_OFFSET_$$localPos$1@2 () (_ BitVec 32))
+(declare-fun _READ_SOURCE_$$localPos$1@2 () (_ BitVec 32))
+(declare-fun %lbl%+7194 () Bool)
+(declare-fun %lbl%+7200 () Bool)
+(declare-fun call2680formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@25461 () Bool)
+(declare-fun %lbl%@25497 () Bool)
+(declare-fun _HAVOC_bv32$1@11 () (_ BitVec 32))
+(declare-fun v14$1@1 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$2@11 () (_ BitVec 32))
+(declare-fun v14$2@1 () (_ BitVec 32))
+(declare-fun %lbl%+7114 () Bool)
+(declare-fun inline$_LOG_READ_$$localPos$0$track@2 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$localPos$1@1 () Bool)
+(declare-fun inline$_LOG_READ_$$localPos$0$_offset$1@2 () (_ BitVec 32))
+(declare-fun _READ_OFFSET_$$localPos$1@1 () (_ BitVec 32))
+(declare-fun _READ_SOURCE_$$localPos$1@1 () (_ BitVec 32))
+(declare-fun %lbl%+7112 () Bool)
+(declare-fun %lbl%+7118 () Bool)
+(declare-fun p2$1@2 () Bool)
+(declare-fun p2$2@2 () Bool)
+(declare-fun v12$1@2 () Bool)
+(declare-fun v2$1@0 () (_ BitVec 32))
+(declare-fun v12$1@1 () Bool)
+(declare-fun v12$2@2 () Bool)
+(declare-fun v2$2@0 () (_ BitVec 32))
+(declare-fun v12$2@1 () Bool)
+(declare-fun %lbl%@25348 () Bool)
+(declare-fun _HAVOC_bv32$1@10 () (_ BitVec 32))
+(declare-fun v13$1@1 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$2@10 () (_ BitVec 32))
+(declare-fun v13$2@1 () (_ BitVec 32))
+(declare-fun %lbl%+7036 () Bool)
+(declare-fun $acc.1$1@1 () (_ BitVec 128))
+(declare-fun $acc.1$1@0 () (_ BitVec 128))
+(declare-fun $acc.1$2@1 () (_ BitVec 128))
+(declare-fun $acc.1$2@0 () (_ BitVec 128))
+(declare-fun $j.0$1@1 () (_ BitVec 32))
+(declare-fun $j.0$1@0 () (_ BitVec 32))
+(declare-fun $j.0$2@1 () (_ BitVec 32))
+(declare-fun $j.0$2@0 () (_ BitVec 32))
+(declare-fun p2$1@1 () Bool)
+(declare-fun p2$2@1 () Bool)
+(declare-fun %lbl%@23930 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$localPos$1@0 () Bool)
+(declare-fun _READ_OFFSET_$$localPos$1@0 () (_ BitVec 32))
+(declare-fun %lbl%@24126 () Bool)
+(declare-fun %lbl%@24160 () Bool)
+(declare-fun %lbl%@24166 () Bool)
+(declare-fun %lbl%@24178 () Bool)
+(declare-fun %lbl%@24190 () Bool)
+(declare-fun %lbl%@24247 () Bool)
+(declare-fun %lbl%@24253 () Bool)
+(declare-fun %lbl%@24263 () Bool)
+(declare-fun %lbl%@24275 () Bool)
+(declare-fun _READ_SOURCE_$$localPos$1@0 () (_ BitVec 32))
+(declare-fun %lbl%@24311 () Bool)
+(declare-fun %lbl%@24340 () Bool)
+(declare-fun %lbl%@24350 () Bool)
+(declare-fun %lbl%@24360 () Bool)
+(declare-fun %lbl%@24366 () Bool)
+(declare-fun %lbl%@24400 () Bool)
+(declare-fun %lbl%@24412 () Bool)
+(declare-fun %lbl%@24424 () Bool)
+(declare-fun %lbl%@24430 () Bool)
+(declare-fun %lbl%@24464 () Bool)
+(declare-fun %lbl%@24476 () Bool)
+(declare-fun %lbl%@24488 () Bool)
+(declare-fun %lbl%@24492 () Bool)
+(declare-fun %lbl%+7032 () Bool)
+(declare-fun %lbl%+7020 () Bool)
+(declare-fun inline$$bugle_barrier$0$$1$2@1 () (_ BitVec 1))
+(declare-fun %lbl%+7022 () Bool)
+(declare-fun %lbl%+7018 () Bool)
+(declare-fun %lbl%+7016 () Bool)
+(declare-fun inline$$bugle_barrier$0$$1$1@1 () (_ BitVec 1))
+(declare-fun %lbl%+7024 () Bool)
+(declare-fun %lbl%+7014 () Bool)
+(declare-fun %lbl%+7012 () Bool)
+(declare-fun inline$$bugle_barrier$0$$0$2@1 () (_ BitVec 1))
+(declare-fun %lbl%+7026 () Bool)
+(declare-fun %lbl%+7010 () Bool)
+(declare-fun %lbl%+7008 () Bool)
+(declare-fun inline$$bugle_barrier$0$$0$1@1 () (_ BitVec 1))
+(declare-fun %lbl%+7028 () Bool)
+(declare-fun %lbl%+7006 () Bool)
+(declare-fun %lbl%+7030 () Bool)
+(declare-fun %lbl%+7002 () Bool)
+(declare-fun %lbl%@23449 () Bool)
+(declare-fun %lbl%+7034 () Bool)
+(declare-fun call2152formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun v0$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@23317 () Bool)
+(declare-fun %lbl%@23353 () Bool)
+(declare-fun %lbl%+6495 () Bool)
+(declare-fun inline$_LOG_WRITE_$$localPos$3$track@1 () Bool)
+(declare-fun _WRITE_HAS_OCCURRED_$$localPos$1@3 () Bool)
+(declare-fun inline$_LOG_WRITE_$$localPos$3$_offset$1@1 () (_ BitVec 32))
+(declare-fun _WRITE_OFFSET_$$localPos$1@3 () (_ BitVec 32))
+(declare-fun _WRITE_SOURCE_$$localPos$1@3 () (_ BitVec 32))
+(declare-fun %lbl%+6493 () Bool)
+(declare-fun v0$1@0 () (_ BitVec 32))
+(declare-fun %lbl%+6499 () Bool)
+(declare-fun call2115formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@23151 () Bool)
+(declare-fun %lbl%@23187 () Bool)
+(declare-fun %lbl%@23223 () Bool)
+(declare-fun %lbl%+6413 () Bool)
+(declare-fun inline$_LOG_WRITE_$$localPos$2$track@1 () Bool)
+(declare-fun _WRITE_HAS_OCCURRED_$$localPos$1@2 () Bool)
+(declare-fun inline$_LOG_WRITE_$$localPos$2$_offset$1@1 () (_ BitVec 32))
+(declare-fun _WRITE_OFFSET_$$localPos$1@2 () (_ BitVec 32))
+(declare-fun _WRITE_SOURCE_$$localPos$1@2 () (_ BitVec 32))
+(declare-fun %lbl%+6411 () Bool)
+(declare-fun %lbl%+6417 () Bool)
+(declare-fun call2078formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@22985 () Bool)
+(declare-fun %lbl%@23021 () Bool)
+(declare-fun %lbl%@23057 () Bool)
+(declare-fun %lbl%+6331 () Bool)
+(declare-fun inline$_LOG_WRITE_$$localPos$1$track@1 () Bool)
+(declare-fun _WRITE_HAS_OCCURRED_$$localPos$1@1 () Bool)
+(declare-fun inline$_LOG_WRITE_$$localPos$1$_offset$1@1 () (_ BitVec 32))
+(declare-fun _WRITE_OFFSET_$$localPos$1@1 () (_ BitVec 32))
+(declare-fun _WRITE_SOURCE_$$localPos$1@1 () (_ BitVec 32))
+(declare-fun %lbl%+6329 () Bool)
+(declare-fun %lbl%+6335 () Bool)
+(declare-fun call2041formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@22819 () Bool)
+(declare-fun %lbl%@22855 () Bool)
+(declare-fun %lbl%@22891 () Bool)
+(declare-fun %lbl%+6249 () Bool)
+(declare-fun inline$_LOG_WRITE_$$localPos$0$track@1 () Bool)
+(declare-fun _WRITE_HAS_OCCURRED_$$localPos$1@0 () Bool)
+(declare-fun inline$_LOG_WRITE_$$localPos$0$_offset$1@1 () (_ BitVec 32))
+(declare-fun _WRITE_OFFSET_$$localPos$1@0 () (_ BitVec 32))
+(declare-fun _WRITE_SOURCE_$$localPos$1@0 () (_ BitVec 32))
+(declare-fun %lbl%+6247 () Bool)
+(declare-fun %lbl%+6253 () Bool)
+(declare-fun call2010formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@22719 () Bool)
+(declare-fun _WRITE_OFFSET_$$pos$1 () (_ BitVec 32))
+(declare-fun %lbl%@22733 () Bool)
+(declare-fun %lbl%+6167 () Bool)
+(declare-fun inline$_LOG_READ_$$pos$7$track@1 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$pos$1@7 () Bool)
+(declare-fun inline$_LOG_READ_$$pos$7$_offset$1@1 () (_ BitVec 32))
+(declare-fun _READ_OFFSET_$$pos$1@7 () (_ BitVec 32))
+(declare-fun _READ_SOURCE_$$pos$1@7 () (_ BitVec 32))
+(declare-fun %lbl%+6165 () Bool)
+(declare-fun %lbl%+6171 () Bool)
+(declare-fun call1945formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@22572 () Bool)
+(declare-fun %lbl%@22586 () Bool)
+(declare-fun v11$1@1 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$1@8 () (_ BitVec 32))
+(declare-fun v11$1@0 () (_ BitVec 32))
+(declare-fun v11$2@1 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$2@8 () (_ BitVec 32))
+(declare-fun v11$2@0 () (_ BitVec 32))
+(declare-fun %lbl%+6085 () Bool)
+(declare-fun inline$_LOG_READ_$$pos$6$track@1 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$pos$1@6 () Bool)
+(declare-fun inline$_LOG_READ_$$pos$6$_offset$1@1 () (_ BitVec 32))
+(declare-fun _READ_OFFSET_$$pos$1@6 () (_ BitVec 32))
+(declare-fun _READ_SOURCE_$$pos$1@6 () (_ BitVec 32))
+(declare-fun %lbl%+6083 () Bool)
+(declare-fun %lbl%+6089 () Bool)
+(declare-fun call1880formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@22425 () Bool)
+(declare-fun %lbl%@22439 () Bool)
+(declare-fun v10$1@1 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$1@7 () (_ BitVec 32))
+(declare-fun v10$1@0 () (_ BitVec 32))
+(declare-fun v10$2@1 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$2@7 () (_ BitVec 32))
+(declare-fun v10$2@0 () (_ BitVec 32))
+(declare-fun %lbl%+6003 () Bool)
+(declare-fun inline$_LOG_READ_$$pos$5$track@1 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$pos$1@5 () Bool)
+(declare-fun inline$_LOG_READ_$$pos$5$_offset$1@1 () (_ BitVec 32))
+(declare-fun _READ_OFFSET_$$pos$1@5 () (_ BitVec 32))
+(declare-fun _READ_SOURCE_$$pos$1@5 () (_ BitVec 32))
+(declare-fun %lbl%+6001 () Bool)
+(declare-fun %lbl%+6007 () Bool)
+(declare-fun call1815formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@22278 () Bool)
+(declare-fun %lbl%@22292 () Bool)
+(declare-fun v9$1@1 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$1@6 () (_ BitVec 32))
+(declare-fun v9$1@0 () (_ BitVec 32))
+(declare-fun v9$2@1 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$2@6 () (_ BitVec 32))
+(declare-fun v9$2@0 () (_ BitVec 32))
+(declare-fun %lbl%+5921 () Bool)
+(declare-fun inline$_LOG_READ_$$pos$4$track@1 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$pos$1@4 () Bool)
+(declare-fun inline$_LOG_READ_$$pos$4$_offset$1@1 () (_ BitVec 32))
+(declare-fun _READ_OFFSET_$$pos$1@4 () (_ BitVec 32))
+(declare-fun _READ_SOURCE_$$pos$1@4 () (_ BitVec 32))
+(declare-fun %lbl%+5919 () Bool)
+(declare-fun %lbl%+5925 () Bool)
+(declare-fun p0$1@1 () Bool)
+(declare-fun p0$2@1 () Bool)
+(declare-fun v7$1@1 () Bool)
+(declare-fun $numBodies$1 () (_ BitVec 32))
+(declare-fun v7$1@0 () Bool)
+(declare-fun v7$2@1 () Bool)
+(declare-fun $numBodies$2 () (_ BitVec 32))
+(declare-fun v7$2@0 () Bool)
+(declare-fun %lbl%@22153 () Bool)
+(declare-fun v8$1@1 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$1@5 () (_ BitVec 32))
+(declare-fun v8$1@0 () (_ BitVec 32))
+(declare-fun v8$2@1 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$2@5 () (_ BitVec 32))
+(declare-fun v8$2@0 () (_ BitVec 32))
+(declare-fun %lbl%+5843 () Bool)
+(declare-fun call1006formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@19579 () Bool)
+(declare-fun $acc.0$1@0 () (_ BitVec 128))
+(declare-fun $acc.0$1 () (_ BitVec 128))
+(declare-fun $acc.0$2@0 () (_ BitVec 128))
+(declare-fun $acc.0$2 () (_ BitVec 128))
+(declare-fun $i.0$1@0 () (_ BitVec 32))
+(declare-fun $i.0$1 () (_ BitVec 32))
+(declare-fun $i.0$2@0 () (_ BitVec 32))
+(declare-fun $i.0$2 () (_ BitVec 32))
+(declare-fun p0$1@0 () Bool)
+(declare-fun p0$2@0 () Bool)
+(declare-fun %lbl%@19676 () Bool)
+(declare-fun _WRITE_HAS_OCCURRED_$$localPos$1 () Bool)
+(declare-fun _WRITE_OFFSET_$$localPos$1 () (_ BitVec 32))
+(declare-fun %lbl%@19742 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$localPos$1 () Bool)
+(declare-fun _READ_OFFSET_$$localPos$1 () (_ BitVec 32))
+(declare-fun %lbl%@19944 () Bool)
+(declare-fun %lbl%@19952 () Bool)
+(declare-fun %lbl%@19960 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$pos$1@3 () Bool)
+(declare-fun _READ_OFFSET_$$pos$1@3 () (_ BitVec 32))
+(declare-fun %lbl%@20181 () Bool)
+(declare-fun %lbl%@20188 () Bool)
+(declare-fun $j.0$1 () (_ BitVec 32))
+(declare-fun $j.0$2 () (_ BitVec 32))
+(declare-fun %lbl%@20204 () Bool)
+(declare-fun $acc.1$1 () (_ BitVec 128))
+(declare-fun $acc.1$2 () (_ BitVec 128))
+(declare-fun %lbl%@20220 () Bool)
+(declare-fun %lbl%@20234 () Bool)
+(declare-fun %lbl%@20248 () Bool)
+(declare-fun %lbl%@20256 () Bool)
+(declare-fun %lbl%@20290 () Bool)
+(declare-fun %lbl%@20296 () Bool)
+(declare-fun %lbl%@20308 () Bool)
+(declare-fun %lbl%@20320 () Bool)
+(declare-fun _READ_SOURCE_$$pos$1@3 () (_ BitVec 32))
+(declare-fun %lbl%@20377 () Bool)
+(declare-fun %lbl%@20383 () Bool)
+(declare-fun %lbl%@20393 () Bool)
+(declare-fun %lbl%@20405 () Bool)
+(declare-fun _READ_SOURCE_$$localPos$1 () (_ BitVec 32))
+(declare-fun %lbl%@20447 () Bool)
+(declare-fun _WRITE_SOURCE_$$localPos$1 () (_ BitVec 32))
+(declare-fun %lbl%@20481 () Bool)
+(declare-fun %lbl%@20493 () Bool)
+(declare-fun %lbl%@20505 () Bool)
+(declare-fun %lbl%@20511 () Bool)
+(declare-fun %lbl%@20545 () Bool)
+(declare-fun %lbl%@20557 () Bool)
+(declare-fun %lbl%@20569 () Bool)
+(declare-fun %lbl%@20575 () Bool)
+(declare-fun %lbl%@20609 () Bool)
+(declare-fun %lbl%@20621 () Bool)
+(declare-fun %lbl%@20633 () Bool)
+(declare-fun %lbl%@20638 () Bool)
+(declare-fun %lbl%+5837 () Bool)
+(declare-fun inline$_LOG_READ_$$pos$3$track@0 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$pos$1@2 () Bool)
+(declare-fun inline$_LOG_READ_$$pos$3$_offset$1@0 () (_ BitVec 32))
+(declare-fun _READ_OFFSET_$$pos$1@2 () (_ BitVec 32))
+(declare-fun _READ_SOURCE_$$pos$1@2 () (_ BitVec 32))
+(declare-fun %lbl%+5835 () Bool)
+(declare-fun %lbl%+5841 () Bool)
+(declare-fun call953formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@19437 () Bool)
+(declare-fun %lbl%@19451 () Bool)
+(declare-fun _HAVOC_bv32$1@3 () (_ BitVec 32))
+(declare-fun v6$1 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$2@3 () (_ BitVec 32))
+(declare-fun v6$2 () (_ BitVec 32))
+(declare-fun %lbl%+5755 () Bool)
+(declare-fun inline$_LOG_READ_$$pos$2$track@0 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$pos$1@1 () Bool)
+(declare-fun inline$_LOG_READ_$$pos$2$_offset$1@0 () (_ BitVec 32))
+(declare-fun _READ_OFFSET_$$pos$1@1 () (_ BitVec 32))
+(declare-fun _READ_SOURCE_$$pos$1@1 () (_ BitVec 32))
+(declare-fun %lbl%+5753 () Bool)
+(declare-fun %lbl%+5759 () Bool)
+(declare-fun call900formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@19295 () Bool)
+(declare-fun %lbl%@19309 () Bool)
+(declare-fun _HAVOC_bv32$1@2 () (_ BitVec 32))
+(declare-fun v5$1 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$2@2 () (_ BitVec 32))
+(declare-fun v5$2 () (_ BitVec 32))
+(declare-fun %lbl%+5673 () Bool)
+(declare-fun inline$_LOG_READ_$$pos$1$track@0 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$pos$1@0 () Bool)
+(declare-fun inline$_LOG_READ_$$pos$1$_offset$1@0 () (_ BitVec 32))
+(declare-fun _READ_OFFSET_$$pos$1@0 () (_ BitVec 32))
+(declare-fun _READ_SOURCE_$$pos$1@0 () (_ BitVec 32))
+(declare-fun %lbl%+5671 () Bool)
+(declare-fun %lbl%+5677 () Bool)
+(declare-fun call847formal@_offset$2@0 () (_ BitVec 32))
+(declare-fun %lbl%@19153 () Bool)
+(declare-fun %lbl%@19167 () Bool)
+(declare-fun _HAVOC_bv32$1@1 () (_ BitVec 32))
+(declare-fun v4$1 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$2@1 () (_ BitVec 32))
+(declare-fun v4$2 () (_ BitVec 32))
+(declare-fun %lbl%+5591 () Bool)
+(declare-fun inline$_LOG_READ_$$pos$0$track@0 () Bool)
+(declare-fun _READ_HAS_OCCURRED_$$pos$1 () Bool)
+(declare-fun inline$_LOG_READ_$$pos$0$_offset$1@0 () (_ BitVec 32))
+(declare-fun _READ_OFFSET_$$pos$1 () (_ BitVec 32))
+(declare-fun _READ_SOURCE_$$pos$1 () (_ BitVec 32))
+(declare-fun %lbl%+5589 () Bool)
+(declare-fun %lbl%+5595 () Bool)
+(declare-fun v0$1 () (_ BitVec 32))
+(declare-fun local_id_x$2 () (_ BitVec 32))
+(declare-fun v0$2 () (_ BitVec 32))
+(declare-fun v1$1 () (_ BitVec 32))
+(declare-fun v1$2 () (_ BitVec 32))
+(declare-fun v2$1 () (_ BitVec 32))
+(declare-fun v2$2 () (_ BitVec 32))
+(declare-fun %lbl%@19027 () Bool)
+(declare-fun _HAVOC_bv32$1@0 () (_ BitVec 32))
+(declare-fun v3$1 () (_ BitVec 32))
+(declare-fun _HAVOC_bv32$2@0 () (_ BitVec 32))
+(declare-fun v3$2 () (_ BitVec 32))
+(declare-fun %lbl%+17409 () Bool)
+(declare-fun local_id_y$1 () (_ BitVec 32))
+(declare-fun local_id_y$2 () (_ BitVec 32))
+(declare-fun local_id_z$1 () (_ BitVec 32))
+(declare-fun local_id_z$2 () (_ BitVec 32))
+(declare-fun $deltaTime$1 () (_ BitVec 32))
+(declare-fun $deltaTime$2 () (_ BitVec 32))
+(assert (not (= (ite (= group_size_y #x00000001) #b1 #b0) #b0)))
+(assert (not (= (ite (= group_size_z #x00000001) #b1 #b0) #b0)))
+(assert (not (= (ite (= num_groups_y #x00000001) #b1 #b0) #b0)))
+(assert (not (= (ite (= num_groups_z #x00000001) #b1 #b0) #b0)))
+(assert (not (= (ite (= group_size_x #x00000100) #b1 #b0) #b0)))
+(assert (not (= (ite (= num_groups_x #x00000004) #b1 #b0) #b0)))
+(define-fun $nbody_sim () Bool (=> (= (ControlFlow 0 0) 17409) (let (($for.cond.tail$12_correct (=> (and %lbl%+8971 true) (=> (= call3746formal@_offset$2@0 (bvadd (bvmul v1$2@0 #x00000004) #x00000003)) (and
+(or %lbl%@30054 (=> (= (ControlFlow 0 8971) (- 0 30054)) (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$newVelocity$1@3
+(= _WRITE_OFFSET_$$newVelocity$1@3 call3746formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$newVelocity$1@3
+(= _WRITE_OFFSET_$$newVelocity$1@3 call3746formal@_offset$2@0))) (and
+(or %lbl%@30066 (=> (= (ControlFlow 0 8971) (- 0 30066)) (not (and
+_P$2
+_READ_HAS_OCCURRED_$$newVelocity$1
+(= _READ_OFFSET_$$newVelocity$1 call3746formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_READ_HAS_OCCURRED_$$newVelocity$1
+(= _READ_OFFSET_$$newVelocity$1 call3746formal@_offset$2@0))) true))))))))
+(let ((inline$_LOG_WRITE_$$newVelocity$3$_LOG_WRITE_correct (=> (and %lbl%+8965 true) (=> (and
+(= _WRITE_HAS_OCCURRED_$$newVelocity$1@3 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newVelocity$3$track@0) true _WRITE_HAS_OCCURRED_$$newVelocity$1@2))
+(= _WRITE_OFFSET_$$newVelocity$1@3 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newVelocity$3$track@0) inline$_LOG_WRITE_$$newVelocity$3$_offset$1@0 _WRITE_OFFSET_$$newVelocity$1@2))
+(= _WRITE_SOURCE_$$newVelocity$1@3 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newVelocity$3$track@0) #x00000010 _WRITE_SOURCE_$$newVelocity$1@2))
+(= (ControlFlow 0 8965) 8971)) $for.cond.tail$12_correct))))
+(let ((inline$_LOG_WRITE_$$newVelocity$3$Entry_correct (=> (and %lbl%+8963 true) (=> (and
+(= inline$_LOG_WRITE_$$newVelocity$3$_offset$1@0 (bvadd (bvmul v1$1@0 #x00000004) #x00000003))
+(= (ControlFlow 0 8963) 8965)) inline$_LOG_WRITE_$$newVelocity$3$_LOG_WRITE_correct))))
+(let (($for.cond.tail$11_correct (=> (and %lbl%+8969 true) (=> (= call3709formal@_offset$2@0 (bvadd (bvmul v1$2@0 #x00000004) #x00000002)) (and
+(or %lbl%@29931 (=> (= (ControlFlow 0 8969) (- 0 29931)) (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$newVelocity$1@2
+(= _WRITE_OFFSET_$$newVelocity$1@2 call3709formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$newVelocity$1@2
+(= _WRITE_OFFSET_$$newVelocity$1@2 call3709formal@_offset$2@0))) (and
+(or %lbl%@29943 (=> (= (ControlFlow 0 8969) (- 0 29943)) (not (and
+_P$2
+_READ_HAS_OCCURRED_$$newVelocity$1
+(= _READ_OFFSET_$$newVelocity$1 call3709formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_READ_HAS_OCCURRED_$$newVelocity$1
+(= _READ_OFFSET_$$newVelocity$1 call3709formal@_offset$2@0))) (and
+(or %lbl%@29957 (=> (= (ControlFlow 0 8969) (- 0 29957)) (=> _P$1 true)))
+(=> (=> _P$1 true) (=> (= (ControlFlow 0 8969) 8963) inline$_LOG_WRITE_$$newVelocity$3$Entry_correct)))))))))))
+(let ((inline$_LOG_WRITE_$$newVelocity$2$_LOG_WRITE_correct (=> (and %lbl%+8883 true) (=> (and
+(= _WRITE_HAS_OCCURRED_$$newVelocity$1@2 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newVelocity$2$track@0) true _WRITE_HAS_OCCURRED_$$newVelocity$1@1))
+(= _WRITE_OFFSET_$$newVelocity$1@2 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newVelocity$2$track@0) inline$_LOG_WRITE_$$newVelocity$2$_offset$1@0 _WRITE_OFFSET_$$newVelocity$1@1))
+(= _WRITE_SOURCE_$$newVelocity$1@2 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newVelocity$2$track@0) #x0000000f _WRITE_SOURCE_$$newVelocity$1@1))
+(= (ControlFlow 0 8883) 8969)) $for.cond.tail$11_correct))))
+(let ((inline$_LOG_WRITE_$$newVelocity$2$Entry_correct (=> (and %lbl%+8881 true) (=> (and
+(= inline$_LOG_WRITE_$$newVelocity$2$_offset$1@0 (bvadd (bvmul v1$1@0 #x00000004) #x00000002))
+(= (ControlFlow 0 8881) 8883)) inline$_LOG_WRITE_$$newVelocity$2$_LOG_WRITE_correct))))
+(let (($for.cond.tail$10_correct (=> (and %lbl%+8887 true) (=> (= call3672formal@_offset$2@0 (bvadd (bvmul v1$2@0 #x00000004) #x00000001)) (and
+(or %lbl%@29808 (=> (= (ControlFlow 0 8887) (- 0 29808)) (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$newVelocity$1@1
+(= _WRITE_OFFSET_$$newVelocity$1@1 call3672formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$newVelocity$1@1
+(= _WRITE_OFFSET_$$newVelocity$1@1 call3672formal@_offset$2@0))) (and
+(or %lbl%@29820 (=> (= (ControlFlow 0 8887) (- 0 29820)) (not (and
+_P$2
+_READ_HAS_OCCURRED_$$newVelocity$1
+(= _READ_OFFSET_$$newVelocity$1 call3672formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_READ_HAS_OCCURRED_$$newVelocity$1
+(= _READ_OFFSET_$$newVelocity$1 call3672formal@_offset$2@0))) (and
+(or %lbl%@29834 (=> (= (ControlFlow 0 8887) (- 0 29834)) (=> _P$1 true)))
+(=> (=> _P$1 true) (=> (= (ControlFlow 0 8887) 8881) inline$_LOG_WRITE_$$newVelocity$2$Entry_correct)))))))))))
+(let ((inline$_LOG_WRITE_$$newVelocity$1$_LOG_WRITE_correct (=> (and %lbl%+8801 true) (=> (and
+(= _WRITE_HAS_OCCURRED_$$newVelocity$1@1 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newVelocity$1$track@0) true _WRITE_HAS_OCCURRED_$$newVelocity$1@0))
+(= _WRITE_OFFSET_$$newVelocity$1@1 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newVelocity$1$track@0) inline$_LOG_WRITE_$$newVelocity$1$_offset$1@0 _WRITE_OFFSET_$$newVelocity$1@0))
+(= _WRITE_SOURCE_$$newVelocity$1@1 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newVelocity$1$track@0) #x0000000e _WRITE_SOURCE_$$newVelocity$1@0))
+(= (ControlFlow 0 8801) 8887)) $for.cond.tail$10_correct))))
+(let ((inline$_LOG_WRITE_$$newVelocity$1$Entry_correct (=> (and %lbl%+8799 true) (=> (and
+(= inline$_LOG_WRITE_$$newVelocity$1$_offset$1@0 (bvadd (bvmul v1$1@0 #x00000004) #x00000001))
+(= (ControlFlow 0 8799) 8801)) inline$_LOG_WRITE_$$newVelocity$1$_LOG_WRITE_correct))))
+(let (($for.cond.tail$9_correct (=> (and %lbl%+8805 true) (=> (= call3635formal@_offset$2@0 (bvmul v1$2@0 #x00000004)) (and
+(or %lbl%@29685 (=> (= (ControlFlow 0 8805) (- 0 29685)) (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$newVelocity$1@0
+(= _WRITE_OFFSET_$$newVelocity$1@0 call3635formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$newVelocity$1@0
+(= _WRITE_OFFSET_$$newVelocity$1@0 call3635formal@_offset$2@0))) (and
+(or %lbl%@29697 (=> (= (ControlFlow 0 8805) (- 0 29697)) (not (and
+_P$2
+_READ_HAS_OCCURRED_$$newVelocity$1
+(= _READ_OFFSET_$$newVelocity$1 call3635formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_READ_HAS_OCCURRED_$$newVelocity$1
+(= _READ_OFFSET_$$newVelocity$1 call3635formal@_offset$2@0))) (and
+(or %lbl%@29711 (=> (= (ControlFlow 0 8805) (- 0 29711)) (=> _P$1 true)))
+(=> (=> _P$1 true) (=> (= (ControlFlow 0 8805) 8799) inline$_LOG_WRITE_$$newVelocity$1$Entry_correct)))))))))))
+(let ((inline$_LOG_WRITE_$$newVelocity$0$_LOG_WRITE_correct (=> (and %lbl%+8719 true) (=> (and
+(= _WRITE_HAS_OCCURRED_$$newVelocity$1@0 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newVelocity$0$track@0) true _WRITE_HAS_OCCURRED_$$newVelocity$1))
+(= _WRITE_OFFSET_$$newVelocity$1@0 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newVelocity$0$track@0) inline$_LOG_WRITE_$$newVelocity$0$_offset$1@0 _WRITE_OFFSET_$$newVelocity$1))
+(= _WRITE_SOURCE_$$newVelocity$1@0 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newVelocity$0$track@0) #x0000000d _WRITE_SOURCE_$$newVelocity$1))
+(= (ControlFlow 0 8719) 8805)) $for.cond.tail$9_correct))))
+(let ((inline$_LOG_WRITE_$$newVelocity$0$Entry_correct (=> (and %lbl%+8717 true) (=> (and
+(= inline$_LOG_WRITE_$$newVelocity$0$_offset$1@0 (bvmul v1$1@0 #x00000004))
+(= (ControlFlow 0 8717) 8719)) inline$_LOG_WRITE_$$newVelocity$0$_LOG_WRITE_correct))))
+(let (($for.cond.tail$8_correct (=> (and %lbl%+8723 true) (=> (= call3604formal@_offset$2@0 (bvadd (bvmul v1$2@0 #x00000004) #x00000003)) (and
+(or %lbl%@29564 (=> (= (ControlFlow 0 8723) (- 0 29564)) (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$newPosition$1@3
+(= _WRITE_OFFSET_$$newPosition$1@3 call3604formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$newPosition$1@3
+(= _WRITE_OFFSET_$$newPosition$1@3 call3604formal@_offset$2@0))) (and
+(or %lbl%@29576 (=> (= (ControlFlow 0 8723) (- 0 29576)) (not (and
+_P$2
+_READ_HAS_OCCURRED_$$newPosition$1
+(= _READ_OFFSET_$$newPosition$1 call3604formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_READ_HAS_OCCURRED_$$newPosition$1
+(= _READ_OFFSET_$$newPosition$1 call3604formal@_offset$2@0))) (and
+(or %lbl%@29590 (=> (= (ControlFlow 0 8723) (- 0 29590)) (=> _P$1 true)))
+(=> (=> _P$1 true) (=> (= (ControlFlow 0 8723) 8717) inline$_LOG_WRITE_$$newVelocity$0$Entry_correct)))))))))))
+(let ((inline$_LOG_WRITE_$$newPosition$3$_LOG_WRITE_correct (=> (and %lbl%+8637 true) (=> (and
+(= _WRITE_HAS_OCCURRED_$$newPosition$1@3 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newPosition$3$track@0) true _WRITE_HAS_OCCURRED_$$newPosition$1@2))
+(= _WRITE_OFFSET_$$newPosition$1@3 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newPosition$3$track@0) inline$_LOG_WRITE_$$newPosition$3$_offset$1@0 _WRITE_OFFSET_$$newPosition$1@2))
+(= _WRITE_SOURCE_$$newPosition$1@3 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newPosition$3$track@0) #x0000000c _WRITE_SOURCE_$$newPosition$1@2))
+(= (ControlFlow 0 8637) 8723)) $for.cond.tail$8_correct))))
+(let ((inline$_LOG_WRITE_$$newPosition$3$Entry_correct (=> (and %lbl%+8635 true) (=> (and
+(= inline$_LOG_WRITE_$$newPosition$3$_offset$1@0 (bvadd (bvmul v1$1@0 #x00000004) #x00000003))
+(= (ControlFlow 0 8635) 8637)) inline$_LOG_WRITE_$$newPosition$3$_LOG_WRITE_correct))))
+(let (($for.cond.tail$7_correct (=> (and %lbl%+8641 true) (=> (= call3567formal@_offset$2@0 (bvadd (bvmul v1$2@0 #x00000004) #x00000002)) (and
+(or %lbl%@29441 (=> (= (ControlFlow 0 8641) (- 0 29441)) (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$newPosition$1@2
+(= _WRITE_OFFSET_$$newPosition$1@2 call3567formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$newPosition$1@2
+(= _WRITE_OFFSET_$$newPosition$1@2 call3567formal@_offset$2@0))) (and
+(or %lbl%@29453 (=> (= (ControlFlow 0 8641) (- 0 29453)) (not (and
+_P$2
+_READ_HAS_OCCURRED_$$newPosition$1
+(= _READ_OFFSET_$$newPosition$1 call3567formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_READ_HAS_OCCURRED_$$newPosition$1
+(= _READ_OFFSET_$$newPosition$1 call3567formal@_offset$2@0))) (and
+(or %lbl%@29467 (=> (= (ControlFlow 0 8641) (- 0 29467)) (=> _P$1 true)))
+(=> (=> _P$1 true) (=> (= (ControlFlow 0 8641) 8635) inline$_LOG_WRITE_$$newPosition$3$Entry_correct)))))))))))
+(let ((inline$_LOG_WRITE_$$newPosition$2$_LOG_WRITE_correct (=> (and %lbl%+8555 true) (=> (and
+(= _WRITE_HAS_OCCURRED_$$newPosition$1@2 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newPosition$2$track@0) true _WRITE_HAS_OCCURRED_$$newPosition$1@1))
+(= _WRITE_OFFSET_$$newPosition$1@2 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newPosition$2$track@0) inline$_LOG_WRITE_$$newPosition$2$_offset$1@0 _WRITE_OFFSET_$$newPosition$1@1))
+(= _WRITE_SOURCE_$$newPosition$1@2 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newPosition$2$track@0) #x0000000b _WRITE_SOURCE_$$newPosition$1@1))
+(= (ControlFlow 0 8555) 8641)) $for.cond.tail$7_correct))))
+(let ((inline$_LOG_WRITE_$$newPosition$2$Entry_correct (=> (and %lbl%+8553 true) (=> (and
+(= inline$_LOG_WRITE_$$newPosition$2$_offset$1@0 (bvadd (bvmul v1$1@0 #x00000004) #x00000002))
+(= (ControlFlow 0 8553) 8555)) inline$_LOG_WRITE_$$newPosition$2$_LOG_WRITE_correct))))
+(let (($for.cond.tail$6_correct (=> (and %lbl%+8559 true) (=> (= call3530formal@_offset$2@0 (bvadd (bvmul v1$2@0 #x00000004) #x00000001)) (and
+(or %lbl%@29318 (=> (= (ControlFlow 0 8559) (- 0 29318)) (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$newPosition$1@1
+(= _WRITE_OFFSET_$$newPosition$1@1 call3530formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$newPosition$1@1
+(= _WRITE_OFFSET_$$newPosition$1@1 call3530formal@_offset$2@0))) (and
+(or %lbl%@29330 (=> (= (ControlFlow 0 8559) (- 0 29330)) (not (and
+_P$2
+_READ_HAS_OCCURRED_$$newPosition$1
+(= _READ_OFFSET_$$newPosition$1 call3530formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_READ_HAS_OCCURRED_$$newPosition$1
+(= _READ_OFFSET_$$newPosition$1 call3530formal@_offset$2@0))) (and
+(or %lbl%@29344 (=> (= (ControlFlow 0 8559) (- 0 29344)) (=> _P$1 true)))
+(=> (=> _P$1 true) (=> (= (ControlFlow 0 8559) 8553) inline$_LOG_WRITE_$$newPosition$2$Entry_correct)))))))))))
+(let ((inline$_LOG_WRITE_$$newPosition$1$_LOG_WRITE_correct (=> (and %lbl%+8473 true) (=> (and
+(= _WRITE_HAS_OCCURRED_$$newPosition$1@1 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newPosition$1$track@0) true _WRITE_HAS_OCCURRED_$$newPosition$1@0))
+(= _WRITE_OFFSET_$$newPosition$1@1 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newPosition$1$track@0) inline$_LOG_WRITE_$$newPosition$1$_offset$1@0 _WRITE_OFFSET_$$newPosition$1@0))
+(= _WRITE_SOURCE_$$newPosition$1@1 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newPosition$1$track@0) #x0000000a _WRITE_SOURCE_$$newPosition$1@0))
+(= (ControlFlow 0 8473) 8559)) $for.cond.tail$6_correct))))
+(let ((inline$_LOG_WRITE_$$newPosition$1$Entry_correct (=> (and %lbl%+8471 true) (=> (and
+(= inline$_LOG_WRITE_$$newPosition$1$_offset$1@0 (bvadd (bvmul v1$1@0 #x00000004) #x00000001))
+(= (ControlFlow 0 8471) 8473)) inline$_LOG_WRITE_$$newPosition$1$_LOG_WRITE_correct))))
+(let (($for.cond.tail$5_correct (=> (and %lbl%+8477 true) (=> (= call3493formal@_offset$2@0 (bvmul v1$2@0 #x00000004)) (and
+(or %lbl%@29195 (=> (= (ControlFlow 0 8477) (- 0 29195)) (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$newPosition$1@0
+(= _WRITE_OFFSET_$$newPosition$1@0 call3493formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$newPosition$1@0
+(= _WRITE_OFFSET_$$newPosition$1@0 call3493formal@_offset$2@0))) (and
+(or %lbl%@29207 (=> (= (ControlFlow 0 8477) (- 0 29207)) (not (and
+_P$2
+_READ_HAS_OCCURRED_$$newPosition$1
+(= _READ_OFFSET_$$newPosition$1 call3493formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_READ_HAS_OCCURRED_$$newPosition$1
+(= _READ_OFFSET_$$newPosition$1 call3493formal@_offset$2@0))) (and
+(or %lbl%@29221 (=> (= (ControlFlow 0 8477) (- 0 29221)) (=> _P$1 true)))
+(=> (=> _P$1 true) (=> (= (ControlFlow 0 8477) 8471) inline$_LOG_WRITE_$$newPosition$1$Entry_correct)))))))))))
+(let ((inline$_LOG_WRITE_$$newPosition$0$_LOG_WRITE_correct (=> (and %lbl%+8391 true) (=> (and
+(= _WRITE_HAS_OCCURRED_$$newPosition$1@0 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newPosition$0$track@0) true _WRITE_HAS_OCCURRED_$$newPosition$1))
+(= _WRITE_OFFSET_$$newPosition$1@0 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newPosition$0$track@0) inline$_LOG_WRITE_$$newPosition$0$_offset$1@0 _WRITE_OFFSET_$$newPosition$1))
+(= _WRITE_SOURCE_$$newPosition$1@0 (ite (and
+_P$1
+inline$_LOG_WRITE_$$newPosition$0$track@0) #x00000009 _WRITE_SOURCE_$$newPosition$1))
+(= (ControlFlow 0 8391) 8477)) $for.cond.tail$5_correct))))
+(let ((inline$_LOG_WRITE_$$newPosition$0$Entry_correct (=> (and %lbl%+8389 true) (=> (and
+(= inline$_LOG_WRITE_$$newPosition$0$_offset$1@0 (bvmul v1$1@0 #x00000004))
+(= (ControlFlow 0 8389) 8391)) inline$_LOG_WRITE_$$newPosition$0$_LOG_WRITE_correct))))
+(let (($for.cond.tail$4_correct (=> (and %lbl%+8395 true) (=> (= call3462formal@_offset$2@0 (bvadd (bvmul v1$2@0 #x00000004) #x00000003)) (and
+(or %lbl%@29086 (=> (= (ControlFlow 0 8395) (- 0 29086)) (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$vel$1
+(= _WRITE_OFFSET_$$vel$1 call3462formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$vel$1
+(= _WRITE_OFFSET_$$vel$1 call3462formal@_offset$2@0))) (and
+(or %lbl%@29100 (=> (= (ControlFlow 0 8395) (- 0 29100)) (=> _P$1 true)))
+(=> (=> _P$1 true) (=> (= (ControlFlow 0 8395) 8389) inline$_LOG_WRITE_$$newPosition$0$Entry_correct)))))))))
+(let ((inline$_LOG_READ_$$vel$3$_LOG_READ_correct (=> (and %lbl%+8309 true) (=> (and
+(= _READ_HAS_OCCURRED_$$vel$1@3 (ite (and
+_P$1
+inline$_LOG_READ_$$vel$3$track@0) true _READ_HAS_OCCURRED_$$vel$1@2))
+(= _READ_OFFSET_$$vel$1@3 (ite (and
+_P$1
+inline$_LOG_READ_$$vel$3$track@0) inline$_LOG_READ_$$vel$3$_offset$1@0 _READ_OFFSET_$$vel$1@2))
+(= _READ_SOURCE_$$vel$1@3 (ite (and
+_P$1
+inline$_LOG_READ_$$vel$3$track@0) #x00000008 _READ_SOURCE_$$vel$1@2))
+(= (ControlFlow 0 8309) 8395)) $for.cond.tail$4_correct))))
+(let ((inline$_LOG_READ_$$vel$3$Entry_correct (=> (and %lbl%+8307 true) (=> (and
+(= inline$_LOG_READ_$$vel$3$_offset$1@0 (bvadd (bvmul v1$1@0 #x00000004) #x00000003))
+(= (ControlFlow 0 8307) 8309)) inline$_LOG_READ_$$vel$3$_LOG_READ_correct))))
+(let (($for.cond.tail$3_correct (=> (and %lbl%+8313 true) (=> (= call3409formal@_offset$2@0 (bvadd (bvmul v1$2@0 #x00000004) #x00000002)) (and
+(or %lbl%@28944 (=> (= (ControlFlow 0 8313) (- 0 28944)) (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$vel$1
+(= _WRITE_OFFSET_$$vel$1 call3409formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$vel$1
+(= _WRITE_OFFSET_$$vel$1 call3409formal@_offset$2@0))) (and
+(or %lbl%@28958 (=> (= (ControlFlow 0 8313) (- 0 28958)) (=> _P$1 true)))
+(=> (=> _P$1 true) (=> (and
+(= v26$1@0 (ite _P$1 _HAVOC_bv32$1@18 v26$1))
+(= v26$2@0 (ite _P$2 _HAVOC_bv32$2@18 v26$2))
+(= (ControlFlow 0 8313) 8307)) inline$_LOG_READ_$$vel$3$Entry_correct)))))))))
+(let ((inline$_LOG_READ_$$vel$2$_LOG_READ_correct (=> (and %lbl%+8227 true) (=> (and
+(= _READ_HAS_OCCURRED_$$vel$1@2 (ite (and
+_P$1
+inline$_LOG_READ_$$vel$2$track@0) true _READ_HAS_OCCURRED_$$vel$1@1))
+(= _READ_OFFSET_$$vel$1@2 (ite (and
+_P$1
+inline$_LOG_READ_$$vel$2$track@0) inline$_LOG_READ_$$vel$2$_offset$1@0 _READ_OFFSET_$$vel$1@1))
+(= _READ_SOURCE_$$vel$1@2 (ite (and
+_P$1
+inline$_LOG_READ_$$vel$2$track@0) #x00000007 _READ_SOURCE_$$vel$1@1))
+(= (ControlFlow 0 8227) 8313)) $for.cond.tail$3_correct))))
+(let ((inline$_LOG_READ_$$vel$2$Entry_correct (=> (and %lbl%+8225 true) (=> (and
+(= inline$_LOG_READ_$$vel$2$_offset$1@0 (bvadd (bvmul v1$1@0 #x00000004) #x00000002))
+(= (ControlFlow 0 8225) 8227)) inline$_LOG_READ_$$vel$2$_LOG_READ_correct))))
+(let (($for.cond.tail$2_correct (=> (and %lbl%+8231 true) (=> (= call3356formal@_offset$2@0 (bvadd (bvmul v1$2@0 #x00000004) #x00000001)) (and
+(or %lbl%@28802 (=> (= (ControlFlow 0 8231) (- 0 28802)) (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$vel$1
+(= _WRITE_OFFSET_$$vel$1 call3356formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$vel$1
+(= _WRITE_OFFSET_$$vel$1 call3356formal@_offset$2@0))) (and
+(or %lbl%@28816 (=> (= (ControlFlow 0 8231) (- 0 28816)) (=> _P$1 true)))
+(=> (=> _P$1 true) (=> (and
+(= v25$1@0 (ite _P$1 _HAVOC_bv32$1@17 v25$1))
+(= v25$2@0 (ite _P$2 _HAVOC_bv32$2@17 v25$2))
+(= (ControlFlow 0 8231) 8225)) inline$_LOG_READ_$$vel$2$Entry_correct)))))))))
+(let ((inline$_LOG_READ_$$vel$1$_LOG_READ_correct (=> (and %lbl%+8145 true) (=> (and
+(= _READ_HAS_OCCURRED_$$vel$1@1 (ite (and
+_P$1
+inline$_LOG_READ_$$vel$1$track@0) true _READ_HAS_OCCURRED_$$vel$1@0))
+(= _READ_OFFSET_$$vel$1@1 (ite (and
+_P$1
+inline$_LOG_READ_$$vel$1$track@0) inline$_LOG_READ_$$vel$1$_offset$1@0 _READ_OFFSET_$$vel$1@0))
+(= _READ_SOURCE_$$vel$1@1 (ite (and
+_P$1
+inline$_LOG_READ_$$vel$1$track@0) #x00000006 _READ_SOURCE_$$vel$1@0))
+(= (ControlFlow 0 8145) 8231)) $for.cond.tail$2_correct))))
+(let ((inline$_LOG_READ_$$vel$1$Entry_correct (=> (and %lbl%+8143 true) (=> (and
+(= inline$_LOG_READ_$$vel$1$_offset$1@0 (bvadd (bvmul v1$1@0 #x00000004) #x00000001))
+(= (ControlFlow 0 8143) 8145)) inline$_LOG_READ_$$vel$1$_LOG_READ_correct))))
+(let (($for.cond.tail$1_correct (=> (and %lbl%+8149 true) (=> (= call3303formal@_offset$2@0 (bvmul v1$2@0 #x00000004)) (and
+(or %lbl%@28660 (=> (= (ControlFlow 0 8149) (- 0 28660)) (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$vel$1
+(= _WRITE_OFFSET_$$vel$1 call3303formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$vel$1
+(= _WRITE_OFFSET_$$vel$1 call3303formal@_offset$2@0))) (and
+(or %lbl%@28674 (=> (= (ControlFlow 0 8149) (- 0 28674)) (=> _P$1 true)))
+(=> (=> _P$1 true) (=> (and
+(= v24$1@0 (ite _P$1 _HAVOC_bv32$1@16 v24$1))
+(= v24$2@0 (ite _P$2 _HAVOC_bv32$2@16 v24$2))
+(= (ControlFlow 0 8149) 8143)) inline$_LOG_READ_$$vel$1$Entry_correct)))))))))
+(let ((inline$_LOG_READ_$$vel$0$_LOG_READ_correct (=> (and %lbl%+8063 true) (=> (and
+(= _READ_HAS_OCCURRED_$$vel$1@0 (ite (and
+_P$1
+inline$_LOG_READ_$$vel$0$track@0) true _READ_HAS_OCCURRED_$$vel$1))
+(= _READ_OFFSET_$$vel$1@0 (ite (and
+_P$1
+inline$_LOG_READ_$$vel$0$track@0) inline$_LOG_READ_$$vel$0$_offset$1@0 _READ_OFFSET_$$vel$1))
+(= _READ_SOURCE_$$vel$1@0 (ite (and
+_P$1
+inline$_LOG_READ_$$vel$0$track@0) #x00000005 _READ_SOURCE_$$vel$1))
+(= (ControlFlow 0 8063) 8149)) $for.cond.tail$1_correct))))
+(let ((inline$_LOG_READ_$$vel$0$Entry_correct (=> (and %lbl%+8061 true) (=> (and
+(= inline$_LOG_READ_$$vel$0$_offset$1@0 (bvmul v1$1@0 #x00000004))
+(= (ControlFlow 0 8061) 8063)) inline$_LOG_READ_$$vel$0$_LOG_READ_correct))))
+(let (($for.cond.tail_correct (=> (and %lbl%+8067 true) (=> (and
+(not p0$1@3)
+(not p0$2@3)) (and
+(or %lbl%@28534 (=> (= (ControlFlow 0 8067) (- 0 28534)) (=> _P$1 true)))
+(=> (=> _P$1 true) (=> (and
+(= v23$1@0 (ite _P$1 _HAVOC_bv32$1@15 v23$1))
+(= v23$2@0 (ite _P$2 _HAVOC_bv32$2@15 v23$2))
+(= (ControlFlow 0 8067) 8061)) inline$_LOG_READ_$$vel$0$Entry_correct)))))))
+(let (($for.cond.backedge_correct (=> (and %lbl%+8973 true) (=> (or
+p0$1@3
+p0$2@3) (and
+(or %lbl%@27591 (=> (= (ControlFlow 0 8973) (- 0 27591)) (=> _b10 (=> _WRITE_HAS_OCCURRED_$$localPos$1@4 (or
+(= _WRITE_OFFSET_$$localPos$1@4 (bvmul local_id_x$1 #x00000004))
+(= _WRITE_OFFSET_$$localPos$1@4 (bvadd (bvmul local_id_x$1 #x00000004) #x00000001))
+(= _WRITE_OFFSET_$$localPos$1@4 (bvadd (bvmul local_id_x$1 #x00000004) #x00000002))
+(= _WRITE_OFFSET_$$localPos$1@4 (bvadd (bvmul local_id_x$1 #x00000004) #x00000003)))))))
+(=> (=> _b10 (=> _WRITE_HAS_OCCURRED_$$localPos$1@4 (or
+(= _WRITE_OFFSET_$$localPos$1@4 (bvmul local_id_x$1 #x00000004))
+(= _WRITE_OFFSET_$$localPos$1@4 (bvadd (bvmul local_id_x$1 #x00000004) #x00000001))
+(= _WRITE_OFFSET_$$localPos$1@4 (bvadd (bvmul local_id_x$1 #x00000004) #x00000002))
+(= _WRITE_OFFSET_$$localPos$1@4 (bvadd (bvmul local_id_x$1 #x00000004) #x00000003))))) (and
+(or %lbl%@27652 (=> (= (ControlFlow 0 8973) (- 0 27652)) (=> _b9 (=> _READ_HAS_OCCURRED_$$localPos$1@6 (or
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvmul #x00000000 #x00000004)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000001)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000002)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003))))))))
+(=> (=> _b9 (=> _READ_HAS_OCCURRED_$$localPos$1@6 (or
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvmul #x00000000 #x00000004)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000001)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000002)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003)))))) (and
+(or %lbl%@27848 (=> (= (ControlFlow 0 8973) (- 0 27848)) (=> _b8 (not _WRITE_HAS_OCCURRED_$$localPos$1@4))))
+(=> (=> _b8 (not _WRITE_HAS_OCCURRED_$$localPos$1@4)) (and
+(or %lbl%@27855 (=> (= (ControlFlow 0 8973) (- 0 27855)) (=> _b7 (not _READ_HAS_OCCURRED_$$localPos$1@6))))
+(=> (=> _b7 (not _READ_HAS_OCCURRED_$$localPos$1@6)) (and
+(or %lbl%@27862 (=> (= (ControlFlow 0 8973) (- 0 27862)) (=> _b6 (=> _READ_HAS_OCCURRED_$$pos$1@8 (or
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@8) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004)))
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@8) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvadd (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004) #x00000001)))
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@8) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvadd (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004) #x00000002)))
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@8) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvadd (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004) #x00000003))))))))
+(=> (=> _b6 (=> _READ_HAS_OCCURRED_$$pos$1@8 (or
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@8) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004)))
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@8) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvadd (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004) #x00000001)))
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@8) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvadd (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004) #x00000002)))
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@8) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvadd (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004) #x00000003)))))) (and
+(or %lbl%@28083 (=> (= (ControlFlow 0 8973) (- 0 28083)) (=> _b5 (not _READ_HAS_OCCURRED_$$pos$1@8))))
+(=> (=> _b5 (not _READ_HAS_OCCURRED_$$pos$1@8)) (and
+(or %lbl%@28090 (=> (= (ControlFlow 0 8973) (- 0 28090)) (=> _b4 (=> (and
+p0$1@3
+p0$2@3) (= $j.0$1@3 $j.0$2@3)))))
+(=> (=> _b4 (=> (and
+p0$1@3
+p0$2@3) (= $j.0$1@3 $j.0$2@3))) (and
+(or %lbl%@28104 (=> (= (ControlFlow 0 8973) (- 0 28104)) (=> _b3 (=> (and
+p0$1@3
+p0$2@3) (= $acc.1$1@3 $acc.1$2@3)))))
+(=> (=> _b3 (=> (and
+p0$1@3
+p0$2@3) (= $acc.1$1@3 $acc.1$2@3))) (and
+(or %lbl%@28118 (=> (= (ControlFlow 0 8973) (- 0 28118)) (=> _b2 (=> (and
+p0$1@3
+p0$2@3) (= $i.0$1@2 $i.0$2@2)))))
+(=> (=> _b2 (=> (and
+p0$1@3
+p0$2@3) (= $i.0$1@2 $i.0$2@2))) (and
+(or %lbl%@28132 (=> (= (ControlFlow 0 8973) (- 0 28132)) (=> _b1 (=> (and
+p0$1@3
+p0$2@3) (= $acc.0$1@2 $acc.0$2@2)))))
+(=> (=> _b1 (=> (and
+p0$1@3
+p0$2@3) (= $acc.0$1@2 $acc.0$2@2))) (and
+(or %lbl%@28146 (=> (= (ControlFlow 0 8973) (- 0 28146)) (=> _b0 (= p0$1@3 p0$2@3))))
+(=> (=> _b0 (= p0$1@3 p0$2@3)) (and
+(or %lbl%@28154 (=> (= (ControlFlow 0 8973) (- 0 28154)) (=> _READ_HAS_OCCURRED_$$vel$1 (or
+(= _READ_SOURCE_$$vel$1 #x00000005)
+(= _READ_SOURCE_$$vel$1 #x00000006)
+(= _READ_SOURCE_$$vel$1 #x00000007)
+(= _READ_SOURCE_$$vel$1 #x00000008)))))
+(=> (=> _READ_HAS_OCCURRED_$$vel$1 (or
+(= _READ_SOURCE_$$vel$1 #x00000005)
+(= _READ_SOURCE_$$vel$1 #x00000006)
+(= _READ_SOURCE_$$vel$1 #x00000007)
+(= _READ_SOURCE_$$vel$1 #x00000008))) (and
+(or %lbl%@28188 (=> (= (ControlFlow 0 8973) (- 0 28188)) (=> _WRITE_HAS_OCCURRED_$$vel$1 false)))
+(=> (=> _WRITE_HAS_OCCURRED_$$vel$1 false) (and
+(or %lbl%@28194 (=> (= (ControlFlow 0 8973) (- 0 28194)) (=> (not _READ_HAS_OCCURRED_$$vel$1) (= _READ_SOURCE_$$vel$1 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$vel$1) (= _READ_SOURCE_$$vel$1 #x00000000)) (and
+(or %lbl%@28206 (=> (= (ControlFlow 0 8973) (- 0 28206)) (=> (not _WRITE_HAS_OCCURRED_$$vel$1) (= _WRITE_SOURCE_$$vel$1 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$vel$1) (= _WRITE_SOURCE_$$vel$1 #x00000000)) (and
+(or %lbl%@28218 (=> (= (ControlFlow 0 8973) (- 0 28218)) (=> _READ_HAS_OCCURRED_$$pos$1@8 (or
+(= _READ_SOURCE_$$pos$1@8 #x00000001)
+(= _READ_SOURCE_$$pos$1@8 #x00000002)
+(= _READ_SOURCE_$$pos$1@8 #x00000003)
+(= _READ_SOURCE_$$pos$1@8 #x00000004)
+(= _READ_SOURCE_$$pos$1@8 #x00000011)
+(= _READ_SOURCE_$$pos$1@8 #x00000012)
+(= _READ_SOURCE_$$pos$1@8 #x00000013)
+(= _READ_SOURCE_$$pos$1@8 #x00000014)))))
+(=> (=> _READ_HAS_OCCURRED_$$pos$1@8 (or
+(= _READ_SOURCE_$$pos$1@8 #x00000001)
+(= _READ_SOURCE_$$pos$1@8 #x00000002)
+(= _READ_SOURCE_$$pos$1@8 #x00000003)
+(= _READ_SOURCE_$$pos$1@8 #x00000004)
+(= _READ_SOURCE_$$pos$1@8 #x00000011)
+(= _READ_SOURCE_$$pos$1@8 #x00000012)
+(= _READ_SOURCE_$$pos$1@8 #x00000013)
+(= _READ_SOURCE_$$pos$1@8 #x00000014))) (and
+(or %lbl%@28275 (=> (= (ControlFlow 0 8973) (- 0 28275)) (=> _WRITE_HAS_OCCURRED_$$pos$1 false)))
+(=> (=> _WRITE_HAS_OCCURRED_$$pos$1 false) (and
+(or %lbl%@28281 (=> (= (ControlFlow 0 8973) (- 0 28281)) (=> (not _READ_HAS_OCCURRED_$$pos$1@8) (= _READ_SOURCE_$$pos$1@8 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$pos$1@8) (= _READ_SOURCE_$$pos$1@8 #x00000000)) (and
+(or %lbl%@28291 (=> (= (ControlFlow 0 8973) (- 0 28291)) (=> (not _WRITE_HAS_OCCURRED_$$pos$1) (= _WRITE_SOURCE_$$pos$1 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$pos$1) (= _WRITE_SOURCE_$$pos$1 #x00000000)) (and
+(or %lbl%@28303 (=> (= (ControlFlow 0 8973) (- 0 28303)) (=> _READ_HAS_OCCURRED_$$localPos$1@6 (or
+(= _READ_SOURCE_$$localPos$1@6 #x00000019)
+(= _READ_SOURCE_$$localPos$1@6 #x0000001a)
+(= _READ_SOURCE_$$localPos$1@6 #x0000001b)
+(= _READ_SOURCE_$$localPos$1@6 #x0000001c)
+(= _READ_SOURCE_$$localPos$1@6 #x0000001d)))))
+(=> (=> _READ_HAS_OCCURRED_$$localPos$1@6 (or
+(= _READ_SOURCE_$$localPos$1@6 #x00000019)
+(= _READ_SOURCE_$$localPos$1@6 #x0000001a)
+(= _READ_SOURCE_$$localPos$1@6 #x0000001b)
+(= _READ_SOURCE_$$localPos$1@6 #x0000001c)
+(= _READ_SOURCE_$$localPos$1@6 #x0000001d))) (and
+(or %lbl%@28339 (=> (= (ControlFlow 0 8973) (- 0 28339)) (=> _WRITE_HAS_OCCURRED_$$localPos$1@4 (or
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000015)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000016)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000017)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000018)))))
+(=> (=> _WRITE_HAS_OCCURRED_$$localPos$1@4 (or
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000015)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000016)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000017)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000018))) (and
+(or %lbl%@28368 (=> (= (ControlFlow 0 8973) (- 0 28368)) (=> (not _READ_HAS_OCCURRED_$$localPos$1@6) (= _READ_SOURCE_$$localPos$1@6 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$localPos$1@6) (= _READ_SOURCE_$$localPos$1@6 #x00000000)) (and
+(or %lbl%@28378 (=> (= (ControlFlow 0 8973) (- 0 28378)) (=> (not _WRITE_HAS_OCCURRED_$$localPos$1@4) (= _WRITE_SOURCE_$$localPos$1@4 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$localPos$1@4) (= _WRITE_SOURCE_$$localPos$1@4 #x00000000)) (and
+(or %lbl%@28388 (=> (= (ControlFlow 0 8973) (- 0 28388)) (=> _READ_HAS_OCCURRED_$$newVelocity$1 false)))
+(=> (=> _READ_HAS_OCCURRED_$$newVelocity$1 false) (and
+(or %lbl%@28394 (=> (= (ControlFlow 0 8973) (- 0 28394)) (=> _WRITE_HAS_OCCURRED_$$newVelocity$1 (or
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000d)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000e)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000f)
+(= _WRITE_SOURCE_$$newVelocity$1 #x00000010)))))
+(=> (=> _WRITE_HAS_OCCURRED_$$newVelocity$1 (or
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000d)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000e)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000f)
+(= _WRITE_SOURCE_$$newVelocity$1 #x00000010))) (and
+(or %lbl%@28428 (=> (= (ControlFlow 0 8973) (- 0 28428)) (=> (not _READ_HAS_OCCURRED_$$newVelocity$1) (= _READ_SOURCE_$$newVelocity$1 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$newVelocity$1) (= _READ_SOURCE_$$newVelocity$1 #x00000000)) (and
+(or %lbl%@28440 (=> (= (ControlFlow 0 8973) (- 0 28440)) (=> (not _WRITE_HAS_OCCURRED_$$newVelocity$1) (= _WRITE_SOURCE_$$newVelocity$1 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$newVelocity$1) (= _WRITE_SOURCE_$$newVelocity$1 #x00000000)) (and
+(or %lbl%@28452 (=> (= (ControlFlow 0 8973) (- 0 28452)) (=> _READ_HAS_OCCURRED_$$newPosition$1 false)))
+(=> (=> _READ_HAS_OCCURRED_$$newPosition$1 false) (and
+(or %lbl%@28458 (=> (= (ControlFlow 0 8973) (- 0 28458)) (=> _WRITE_HAS_OCCURRED_$$newPosition$1 (or
+(= _WRITE_SOURCE_$$newPosition$1 #x00000009)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000a)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000b)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000c)))))
+(=> (=> _WRITE_HAS_OCCURRED_$$newPosition$1 (or
+(= _WRITE_SOURCE_$$newPosition$1 #x00000009)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000a)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000b)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000c))) (and
+(or %lbl%@28492 (=> (= (ControlFlow 0 8973) (- 0 28492)) (=> (not _READ_HAS_OCCURRED_$$newPosition$1) (= _READ_SOURCE_$$newPosition$1 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$newPosition$1) (= _READ_SOURCE_$$newPosition$1 #x00000000)) (and
+(or %lbl%@28504 (=> (= (ControlFlow 0 8973) (- 0 28504)) (=> (not _WRITE_HAS_OCCURRED_$$newPosition$1) (= _WRITE_SOURCE_$$newPosition$1 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$newPosition$1) (= _WRITE_SOURCE_$$newPosition$1 #x00000000)) (and
+(or %lbl%@28516 (=> (= (ControlFlow 0 8973) (- 0 28516)) (=> p0$1@3 _P$1)))
+(=> (=> p0$1@3 _P$1) (and
+(or %lbl%@28521 (=> (= (ControlFlow 0 8973) (- 0 28521)) (=> p0$2@3 _P$2)))
+(=> (=> p0$2@3 _P$2) true))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))
+(let (($for.cond5.tail$1_correct (=> (and %lbl%+7985 true) (=> (and
+(= $acc.0$1@2 (ite p1$1@1 $acc.1$1@3 $acc.0$1@1))
+(= $acc.0$2@2 (ite p1$2@1 $acc.1$2@3 $acc.0$2@1))
+(= $i.0$1@2 (ite p1$1@1 (bvadd $i.0$1@1 #x00000001) $i.0$1@1))
+(= $i.0$2@2 (ite p1$2@1 (bvadd $i.0$2@1 #x00000001) $i.0$2@1))
+(= p0$1@3 (ite p1$1@1 true p0$1@2))
+(= p0$2@3 (ite p1$2@1 true p0$2@2))) (and
+(=> (= (ControlFlow 0 7985) 8973) $for.cond.backedge_correct)
+(=> (= (ControlFlow 0 7985) 8067) $for.cond.tail_correct))))))
+(let ((inline$$bugle_barrier$1$Return_correct (=> (and %lbl%+7981 true) (=> (= (ControlFlow 0 7981) 7985) $for.cond5.tail$1_correct))))
+(let ((inline$$bugle_barrier$1$anon18_Else_correct (=> (and %lbl%+7969 true) (=> (and
+(not (and
+p1$2@1
+(= inline$$bugle_barrier$1$$1$2@1 #b1)))
+(= (ControlFlow 0 7969) 7981)) inline$$bugle_barrier$1$Return_correct))))
+(let ((inline$$bugle_barrier$1$anon18_Then_correct (=> (and %lbl%+7971 true) (=> (and
+p1$2@1
+(= inline$$bugle_barrier$1$$1$2@1 #b1)
+(= (ControlFlow 0 7971) 7981)) inline$$bugle_barrier$1$Return_correct))))
+(let ((inline$$bugle_barrier$1$anon9_correct (=> (and %lbl%+7967 true) (and
+(=> (= (ControlFlow 0 7967) 7971) inline$$bugle_barrier$1$anon18_Then_correct)
+(=> (= (ControlFlow 0 7967) 7969) inline$$bugle_barrier$1$anon18_Else_correct)))))
+(let ((inline$$bugle_barrier$1$anon17_Else_correct (=> (and %lbl%+7965 true) (=> (and
+(not (and
+p1$1@1
+(= inline$$bugle_barrier$1$$1$1@1 #b1)))
+(= (ControlFlow 0 7965) 7967)) inline$$bugle_barrier$1$anon9_correct))))
+(let ((inline$$bugle_barrier$1$anon17_Then_correct (=> (and %lbl%+7973 true) (=> (and
+p1$1@1
+(= inline$$bugle_barrier$1$$1$1@1 #b1)) (=> (and
+(=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (not _READ_HAS_OCCURRED_$$pos$1@8))
+(=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (not _WRITE_HAS_OCCURRED_$$pos$1))
+(=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (not _READ_HAS_OCCURRED_$$vel$1))
+(=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (not _WRITE_HAS_OCCURRED_$$vel$1))
+(=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (not _READ_HAS_OCCURRED_$$newPosition$1))
+(=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (not _WRITE_HAS_OCCURRED_$$newPosition$1))
+(=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (not _READ_HAS_OCCURRED_$$newVelocity$1))
+(=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (not _WRITE_HAS_OCCURRED_$$newVelocity$1))) (and
+(=> (= (ControlFlow 0 7973) 7971) inline$$bugle_barrier$1$anon18_Then_correct)
+(=> (= (ControlFlow 0 7973) 7969) inline$$bugle_barrier$1$anon18_Else_correct)))))))
+(let ((inline$$bugle_barrier$1$anon4_correct (=> (and %lbl%+7963 true) (and
+(=> (= (ControlFlow 0 7963) 7973) inline$$bugle_barrier$1$anon17_Then_correct)
+(=> (= (ControlFlow 0 7963) 7965) inline$$bugle_barrier$1$anon17_Else_correct)))))
+(let ((inline$$bugle_barrier$1$anon16_Else_correct (=> (and %lbl%+7961 true) (=> (and
+(not (and
+p1$2@1
+(= inline$$bugle_barrier$1$$0$2@1 #b1)))
+(= (ControlFlow 0 7961) 7963)) inline$$bugle_barrier$1$anon4_correct))))
+(let ((inline$$bugle_barrier$1$anon16_Then_correct (=> (and %lbl%+7975 true) (=> (and
+p1$2@1
+(= inline$$bugle_barrier$1$$0$2@1 #b1)) (and
+(=> (= (ControlFlow 0 7975) 7973) inline$$bugle_barrier$1$anon17_Then_correct)
+(=> (= (ControlFlow 0 7975) 7965) inline$$bugle_barrier$1$anon17_Else_correct))))))
+(let ((inline$$bugle_barrier$1$anon2_correct (=> (and %lbl%+7959 true) (and
+(=> (= (ControlFlow 0 7959) 7975) inline$$bugle_barrier$1$anon16_Then_correct)
+(=> (= (ControlFlow 0 7959) 7961) inline$$bugle_barrier$1$anon16_Else_correct)))))
+(let ((inline$$bugle_barrier$1$anon15_Else_correct (=> (and %lbl%+7957 true) (=> (and
+(not (and
+p1$1@1
+(= inline$$bugle_barrier$1$$0$1@1 #b1)))
+(= (ControlFlow 0 7957) 7959)) inline$$bugle_barrier$1$anon2_correct))))
+(let ((inline$$bugle_barrier$1$anon15_Then_correct (=> (and %lbl%+7977 true) (=> (and
+p1$1@1
+(= inline$$bugle_barrier$1$$0$1@1 #b1)
+(not _READ_HAS_OCCURRED_$$localPos$1@6)
+(not _WRITE_HAS_OCCURRED_$$localPos$1@4)) (and
+(=> (= (ControlFlow 0 7977) 7975) inline$$bugle_barrier$1$anon16_Then_correct)
+(=> (= (ControlFlow 0 7977) 7961) inline$$bugle_barrier$1$anon16_Else_correct))))))
+(let ((inline$$bugle_barrier$1$anon14_Else_correct (=> (and %lbl%+7955 true) (=> (not (or
+(and
+(not p1$1@1)
+(not p1$2@1))
+(and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)
+(or
+(not p1$1@1)
+(not p1$2@1))))) (and
+(=> (= (ControlFlow 0 7955) 7977) inline$$bugle_barrier$1$anon15_Then_correct)
+(=> (= (ControlFlow 0 7955) 7957) inline$$bugle_barrier$1$anon15_Else_correct))))))
+(let ((inline$$bugle_barrier$1$anon14_Then_correct (=> (and %lbl%+7979 true) (=> (and
+(or
+(and
+(not p1$1@1)
+(not p1$2@1))
+(and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)
+(or
+(not p1$1@1)
+(not p1$2@1))))
+(= (ControlFlow 0 7979) 7985)) $for.cond5.tail$1_correct))))
+(let ((inline$$bugle_barrier$1$Entry_correct (=> (and %lbl%+7951 true) (=> (and
+(= inline$$bugle_barrier$1$$0$1@1 (ite true #b1 #b0))
+(= inline$$bugle_barrier$1$$1$1@1 (ite false #b1 #b0))
+(= inline$$bugle_barrier$1$$0$2@1 (ite true #b1 #b0))
+(= inline$$bugle_barrier$1$$1$2@1 (ite false #b1 #b0))) (and
+(or %lbl%@27100 (=> (= (ControlFlow 0 7951) (- 0 27100)) (=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (= p1$1@1 p1$2@1))))
+(=> (=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (= p1$1@1 p1$2@1)) (and
+(=> (= (ControlFlow 0 7951) 7979) inline$$bugle_barrier$1$anon14_Then_correct)
+(=> (= (ControlFlow 0 7951) 7955) inline$$bugle_barrier$1$anon14_Else_correct))))))))
+(let (($for.cond5.tail_correct (=> (and %lbl%+7983 true) (=> (not p2$1@4) (=> (and
+(not p2$2@4)
+(= (ControlFlow 0 7983) 7951)) inline$$bugle_barrier$1$Entry_correct)))))
+(let (($for.cond5.backedge_correct (=> (and %lbl%+8975 true) (=> (or
+p2$1@4
+p2$2@4) (and
+(or %lbl%@26466 (=> (= (ControlFlow 0 8975) (- 0 26466)) (=> _b11 (=> _READ_HAS_OCCURRED_$$localPos$1@6 (or
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvmul #x00000000 #x00000004)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000001)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000002)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003))))))))
+(=> (=> _b11 (=> _READ_HAS_OCCURRED_$$localPos$1@6 (or
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvmul #x00000000 #x00000004)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000001)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000002)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@6) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003)))))) (and
+(or %lbl%@26662 (=> (= (ControlFlow 0 8975) (- 0 26662)) (=> _READ_HAS_OCCURRED_$$vel$1 (or
+(= _READ_SOURCE_$$vel$1 #x00000005)
+(= _READ_SOURCE_$$vel$1 #x00000006)
+(= _READ_SOURCE_$$vel$1 #x00000007)
+(= _READ_SOURCE_$$vel$1 #x00000008)))))
+(=> (=> _READ_HAS_OCCURRED_$$vel$1 (or
+(= _READ_SOURCE_$$vel$1 #x00000005)
+(= _READ_SOURCE_$$vel$1 #x00000006)
+(= _READ_SOURCE_$$vel$1 #x00000007)
+(= _READ_SOURCE_$$vel$1 #x00000008))) (and
+(or %lbl%@26696 (=> (= (ControlFlow 0 8975) (- 0 26696)) (=> _WRITE_HAS_OCCURRED_$$vel$1 false)))
+(=> (=> _WRITE_HAS_OCCURRED_$$vel$1 false) (and
+(or %lbl%@26702 (=> (= (ControlFlow 0 8975) (- 0 26702)) (=> (not _READ_HAS_OCCURRED_$$vel$1) (= _READ_SOURCE_$$vel$1 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$vel$1) (= _READ_SOURCE_$$vel$1 #x00000000)) (and
+(or %lbl%@26714 (=> (= (ControlFlow 0 8975) (- 0 26714)) (=> (not _WRITE_HAS_OCCURRED_$$vel$1) (= _WRITE_SOURCE_$$vel$1 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$vel$1) (= _WRITE_SOURCE_$$vel$1 #x00000000)) (and
+(or %lbl%@26726 (=> (= (ControlFlow 0 8975) (- 0 26726)) (=> _READ_HAS_OCCURRED_$$pos$1@8 (or
+(= _READ_SOURCE_$$pos$1@8 #x00000001)
+(= _READ_SOURCE_$$pos$1@8 #x00000002)
+(= _READ_SOURCE_$$pos$1@8 #x00000003)
+(= _READ_SOURCE_$$pos$1@8 #x00000004)
+(= _READ_SOURCE_$$pos$1@8 #x00000011)
+(= _READ_SOURCE_$$pos$1@8 #x00000012)
+(= _READ_SOURCE_$$pos$1@8 #x00000013)
+(= _READ_SOURCE_$$pos$1@8 #x00000014)))))
+(=> (=> _READ_HAS_OCCURRED_$$pos$1@8 (or
+(= _READ_SOURCE_$$pos$1@8 #x00000001)
+(= _READ_SOURCE_$$pos$1@8 #x00000002)
+(= _READ_SOURCE_$$pos$1@8 #x00000003)
+(= _READ_SOURCE_$$pos$1@8 #x00000004)
+(= _READ_SOURCE_$$pos$1@8 #x00000011)
+(= _READ_SOURCE_$$pos$1@8 #x00000012)
+(= _READ_SOURCE_$$pos$1@8 #x00000013)
+(= _READ_SOURCE_$$pos$1@8 #x00000014))) (and
+(or %lbl%@26783 (=> (= (ControlFlow 0 8975) (- 0 26783)) (=> _WRITE_HAS_OCCURRED_$$pos$1 false)))
+(=> (=> _WRITE_HAS_OCCURRED_$$pos$1 false) (and
+(or %lbl%@26789 (=> (= (ControlFlow 0 8975) (- 0 26789)) (=> (not _READ_HAS_OCCURRED_$$pos$1@8) (= _READ_SOURCE_$$pos$1@8 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$pos$1@8) (= _READ_SOURCE_$$pos$1@8 #x00000000)) (and
+(or %lbl%@26799 (=> (= (ControlFlow 0 8975) (- 0 26799)) (=> (not _WRITE_HAS_OCCURRED_$$pos$1) (= _WRITE_SOURCE_$$pos$1 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$pos$1) (= _WRITE_SOURCE_$$pos$1 #x00000000)) (and
+(or %lbl%@26811 (=> (= (ControlFlow 0 8975) (- 0 26811)) (=> _READ_HAS_OCCURRED_$$localPos$1@6 (or
+(= _READ_SOURCE_$$localPos$1@6 #x00000019)
+(= _READ_SOURCE_$$localPos$1@6 #x0000001a)
+(= _READ_SOURCE_$$localPos$1@6 #x0000001b)
+(= _READ_SOURCE_$$localPos$1@6 #x0000001c)
+(= _READ_SOURCE_$$localPos$1@6 #x0000001d)))))
+(=> (=> _READ_HAS_OCCURRED_$$localPos$1@6 (or
+(= _READ_SOURCE_$$localPos$1@6 #x00000019)
+(= _READ_SOURCE_$$localPos$1@6 #x0000001a)
+(= _READ_SOURCE_$$localPos$1@6 #x0000001b)
+(= _READ_SOURCE_$$localPos$1@6 #x0000001c)
+(= _READ_SOURCE_$$localPos$1@6 #x0000001d))) (and
+(or %lbl%@26847 (=> (= (ControlFlow 0 8975) (- 0 26847)) (=> _WRITE_HAS_OCCURRED_$$localPos$1@4 (or
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000015)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000016)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000017)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000018)))))
+(=> (=> _WRITE_HAS_OCCURRED_$$localPos$1@4 (or
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000015)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000016)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000017)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000018))) (and
+(or %lbl%@26876 (=> (= (ControlFlow 0 8975) (- 0 26876)) (=> (not _READ_HAS_OCCURRED_$$localPos$1@6) (= _READ_SOURCE_$$localPos$1@6 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$localPos$1@6) (= _READ_SOURCE_$$localPos$1@6 #x00000000)) (and
+(or %lbl%@26886 (=> (= (ControlFlow 0 8975) (- 0 26886)) (=> (not _WRITE_HAS_OCCURRED_$$localPos$1@4) (= _WRITE_SOURCE_$$localPos$1@4 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$localPos$1@4) (= _WRITE_SOURCE_$$localPos$1@4 #x00000000)) (and
+(or %lbl%@26896 (=> (= (ControlFlow 0 8975) (- 0 26896)) (=> _READ_HAS_OCCURRED_$$newVelocity$1 false)))
+(=> (=> _READ_HAS_OCCURRED_$$newVelocity$1 false) (and
+(or %lbl%@26902 (=> (= (ControlFlow 0 8975) (- 0 26902)) (=> _WRITE_HAS_OCCURRED_$$newVelocity$1 (or
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000d)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000e)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000f)
+(= _WRITE_SOURCE_$$newVelocity$1 #x00000010)))))
+(=> (=> _WRITE_HAS_OCCURRED_$$newVelocity$1 (or
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000d)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000e)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000f)
+(= _WRITE_SOURCE_$$newVelocity$1 #x00000010))) (and
+(or %lbl%@26936 (=> (= (ControlFlow 0 8975) (- 0 26936)) (=> (not _READ_HAS_OCCURRED_$$newVelocity$1) (= _READ_SOURCE_$$newVelocity$1 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$newVelocity$1) (= _READ_SOURCE_$$newVelocity$1 #x00000000)) (and
+(or %lbl%@26948 (=> (= (ControlFlow 0 8975) (- 0 26948)) (=> (not _WRITE_HAS_OCCURRED_$$newVelocity$1) (= _WRITE_SOURCE_$$newVelocity$1 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$newVelocity$1) (= _WRITE_SOURCE_$$newVelocity$1 #x00000000)) (and
+(or %lbl%@26960 (=> (= (ControlFlow 0 8975) (- 0 26960)) (=> _READ_HAS_OCCURRED_$$newPosition$1 false)))
+(=> (=> _READ_HAS_OCCURRED_$$newPosition$1 false) (and
+(or %lbl%@26966 (=> (= (ControlFlow 0 8975) (- 0 26966)) (=> _WRITE_HAS_OCCURRED_$$newPosition$1 (or
+(= _WRITE_SOURCE_$$newPosition$1 #x00000009)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000a)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000b)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000c)))))
+(=> (=> _WRITE_HAS_OCCURRED_$$newPosition$1 (or
+(= _WRITE_SOURCE_$$newPosition$1 #x00000009)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000a)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000b)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000c))) (and
+(or %lbl%@27000 (=> (= (ControlFlow 0 8975) (- 0 27000)) (=> (not _READ_HAS_OCCURRED_$$newPosition$1) (= _READ_SOURCE_$$newPosition$1 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$newPosition$1) (= _READ_SOURCE_$$newPosition$1 #x00000000)) (and
+(or %lbl%@27012 (=> (= (ControlFlow 0 8975) (- 0 27012)) (=> (not _WRITE_HAS_OCCURRED_$$newPosition$1) (= _WRITE_SOURCE_$$newPosition$1 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$newPosition$1) (= _WRITE_SOURCE_$$newPosition$1 #x00000000)) (and
+(or %lbl%@27024 (=> (= (ControlFlow 0 8975) (- 0 27024)) (=> p2$1@4 p0$1@2)))
+(=> (=> p2$1@4 p0$1@2) (and
+(or %lbl%@27028 (=> (= (ControlFlow 0 8975) (- 0 27028)) (=> p2$2@4 p0$2@2)))
+(=> (=> p2$2@4 p0$2@2) true))))))))))))))))))))))))))))))))))))))))))))))))))
+(let (($for.cond5$5_correct (=> (and %lbl%+7448 true) (=> (= call3008formal@_offset$2@0 (bvadd (bvmul $j.0$2@2 #x00000004) #x00000003)) (and
+(or %lbl%@26241 (=> (= (ControlFlow 0 7448) (- 0 26241)) (not (and
+p3$2@2
+_WRITE_HAS_OCCURRED_$$localPos$1@4
+(= _WRITE_OFFSET_$$localPos$1@4 call3008formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)))))
+(=> (not (and
+p3$2@2
+_WRITE_HAS_OCCURRED_$$localPos$1@4
+(= _WRITE_OFFSET_$$localPos$1@4 call3008formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2))) (=> (and
+(= v22$1@2 (ite p3$1@2 (FMUL32 v21$1@2 (FMUL32 (FMUL32 v20$1@2 v20$1@2) v20$1@2)) v22$1@1))
+(= v22$2@2 (ite p3$2@2 (FMUL32 v21$2@2 (FMUL32 (FMUL32 v20$2@2 v20$2@2) v20$2@2)) v22$2@1))) (=> (and
+(= $acc.1$1@3 (ite p3$1@2 (concat (concat (concat (FADD32 ((_ extract 127 96) $acc.1$1@2) (FMUL32 v22$1@2 (FSUB32 v16$1@2 v6$1@0))) (FADD32 ((_ extract 95 64) $acc.1$1@2) (FMUL32 v22$1@2 v19$1@2))) (FADD32 ((_ extract 63 32) $acc.1$1@2) (FMUL32 v22$1@2 v18$1@2))) (FADD32 ((_ extract 31 0) $acc.1$1@2) (FMUL32 v22$1@2 v17$1@2))) $acc.1$1@2))
+(= $acc.1$2@3 (ite p3$2@2 (concat (concat (concat (FADD32 ((_ extract 127 96) $acc.1$2@2) (FMUL32 v22$2@2 (FSUB32 v16$2@2 v6$2@0))) (FADD32 ((_ extract 95 64) $acc.1$2@2) (FMUL32 v22$2@2 v19$2@2))) (FADD32 ((_ extract 63 32) $acc.1$2@2) (FMUL32 v22$2@2 v18$2@2))) (FADD32 ((_ extract 31 0) $acc.1$2@2) (FMUL32 v22$2@2 v17$2@2))) $acc.1$2@2))
+(= $j.0$1@3 (ite p3$1@2 (bvadd $j.0$1@2 #x00000001) $j.0$1@2))
+(= $j.0$2@3 (ite p3$2@2 (bvadd $j.0$2@2 #x00000001) $j.0$2@2))
+(= p2$1@4 (ite p3$1@2 true p2$1@3))
+(= p2$2@4 (ite p3$2@2 true p2$2@3))) (and
+(=> (= (ControlFlow 0 7448) 8975) $for.cond5.backedge_correct)
+(=> (= (ControlFlow 0 7448) 7983) $for.cond5.tail_correct))))))))))
+(let ((inline$_LOG_READ_$$localPos$4$_LOG_READ_correct (=> (and %lbl%+7442 true) (=> (and
+(= _READ_HAS_OCCURRED_$$localPos$1@6 (ite (and
+p3$1@2
+inline$_LOG_READ_$$localPos$4$track@2) true _READ_HAS_OCCURRED_$$localPos$1@5))
+(= _READ_OFFSET_$$localPos$1@6 (ite (and
+p3$1@2
+inline$_LOG_READ_$$localPos$4$track@2) inline$_LOG_READ_$$localPos$4$_offset$1@2 _READ_OFFSET_$$localPos$1@5))
+(= _READ_SOURCE_$$localPos$1@6 (ite (and
+p3$1@2
+inline$_LOG_READ_$$localPos$4$track@2) #x0000001d _READ_SOURCE_$$localPos$1@5))
+(= (ControlFlow 0 7442) 7448)) $for.cond5$5_correct))))
+(let ((inline$_LOG_READ_$$localPos$4$Entry_correct (=> (and %lbl%+7440 true) (=> (and
+(= inline$_LOG_READ_$$localPos$4$_offset$1@2 (bvadd (bvmul $j.0$1@2 #x00000004) #x00000003))
+(= (ControlFlow 0 7440) 7442)) inline$_LOG_READ_$$localPos$4$_LOG_READ_correct))))
+(let (($for.cond5$4_correct (=> (and %lbl%+7446 true) (=> (= call2839formal@_offset$2@0 (bvadd (bvmul $j.0$2@2 #x00000004) #x00000003)) (and
+(or %lbl%@25932 (=> (= (ControlFlow 0 7446) (- 0 25932)) (not (and
+p3$2@2
+_WRITE_HAS_OCCURRED_$$localPos$1@4
+(= _WRITE_OFFSET_$$localPos$1@4 call2839formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)))))
+(=> (not (and
+p3$2@2
+_WRITE_HAS_OCCURRED_$$localPos$1@4
+(= _WRITE_OFFSET_$$localPos$1@4 call2839formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2))) (=> (and
+(= v17$1@2 (ite p3$1@2 (FSUB32 v13$1@2 v3$1@0) v17$1@1))
+(= v17$2@2 (ite p3$2@2 (FSUB32 v13$2@2 v3$2@0) v17$2@1))
+(= v18$1@2 (ite p3$1@2 (FSUB32 v14$1@2 v4$1@0) v18$1@1))
+(= v18$2@2 (ite p3$2@2 (FSUB32 v14$2@2 v4$2@0) v18$2@1))
+(= v19$1@2 (ite p3$1@2 (FSUB32 v15$1@2 v5$1@0) v19$1@1))
+(= v19$2@2 (ite p3$2@2 (FSUB32 v15$2@2 v5$2@0) v19$2@1))
+(= v20$1@2 (ite p3$1@2 (FDIV32 #x3f800000 (FSQRT32 (FADD32 (FADD32 (FADD32 (FMUL32 v17$1@2 v17$1@2) (FMUL32 v18$1@2 v18$1@2)) (FMUL32 v19$1@2 v19$1@2)) $epsSqr$1))) v20$1@1))
+(= v20$2@2 (ite p3$2@2 (FDIV32 #x3f800000 (FSQRT32 (FADD32 (FADD32 (FADD32 (FMUL32 v17$2@2 v17$2@2) (FMUL32 v18$2@2 v18$2@2)) (FMUL32 v19$2@2 v19$2@2)) $epsSqr$2))) v20$2@1))) (and
+(or %lbl%@26120 (=> (= (ControlFlow 0 7446) (- 0 26120)) (=> p3$1@2 true)))
+(=> (=> p3$1@2 true) (=> (and
+(= v21$1@2 (ite p3$1@2 _HAVOC_bv32$1@14 v21$1@1))
+(= v21$2@2 (ite p3$2@2 _HAVOC_bv32$2@14 v21$2@1))
+(= (ControlFlow 0 7446) 7440)) inline$_LOG_READ_$$localPos$4$Entry_correct))))))))))
+(let ((inline$_LOG_READ_$$localPos$3$_LOG_READ_correct (=> (and %lbl%+7360 true) (=> (and
+(= _READ_HAS_OCCURRED_$$localPos$1@5 (ite (and
+p3$1@2
+inline$_LOG_READ_$$localPos$3$track@2) true _READ_HAS_OCCURRED_$$localPos$1@4))
+(= _READ_OFFSET_$$localPos$1@5 (ite (and
+p3$1@2
+inline$_LOG_READ_$$localPos$3$track@2) inline$_LOG_READ_$$localPos$3$_offset$1@2 _READ_OFFSET_$$localPos$1@4))
+(= _READ_SOURCE_$$localPos$1@5 (ite (and
+p3$1@2
+inline$_LOG_READ_$$localPos$3$track@2) #x0000001c _READ_SOURCE_$$localPos$1@4))
+(= (ControlFlow 0 7360) 7446)) $for.cond5$4_correct))))
+(let ((inline$_LOG_READ_$$localPos$3$Entry_correct (=> (and %lbl%+7358 true) (=> (and
+(= inline$_LOG_READ_$$localPos$3$_offset$1@2 (bvadd (bvmul $j.0$1@2 #x00000004) #x00000003))
+(= (ControlFlow 0 7358) 7360)) inline$_LOG_READ_$$localPos$3$_LOG_READ_correct))))
+(let (($for.cond5$3_correct (=> (and %lbl%+7364 true) (=> (= call2786formal@_offset$2@0 (bvadd (bvmul $j.0$2@2 #x00000004) #x00000002)) (and
+(or %lbl%@25775 (=> (= (ControlFlow 0 7364) (- 0 25775)) (not (and
+p3$2@2
+_WRITE_HAS_OCCURRED_$$localPos$1@4
+(= _WRITE_OFFSET_$$localPos$1@4 call2786formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)))))
+(=> (not (and
+p3$2@2
+_WRITE_HAS_OCCURRED_$$localPos$1@4
+(= _WRITE_OFFSET_$$localPos$1@4 call2786formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2))) (and
+(or %lbl%@25811 (=> (= (ControlFlow 0 7364) (- 0 25811)) (=> p3$1@2 true)))
+(=> (=> p3$1@2 true) (=> (and
+(= v16$1@2 (ite p3$1@2 _HAVOC_bv32$1@13 v16$1@1))
+(= v16$2@2 (ite p3$2@2 _HAVOC_bv32$2@13 v16$2@1))
+(= (ControlFlow 0 7364) 7358)) inline$_LOG_READ_$$localPos$3$Entry_correct)))))))))
+(let ((inline$_LOG_READ_$$localPos$2$_LOG_READ_correct (=> (and %lbl%+7278 true) (=> (and
+(= _READ_HAS_OCCURRED_$$localPos$1@4 (ite (and
+p3$1@2
+inline$_LOG_READ_$$localPos$2$track@2) true _READ_HAS_OCCURRED_$$localPos$1@3))
+(= _READ_OFFSET_$$localPos$1@4 (ite (and
+p3$1@2
+inline$_LOG_READ_$$localPos$2$track@2) inline$_LOG_READ_$$localPos$2$_offset$1@2 _READ_OFFSET_$$localPos$1@3))
+(= _READ_SOURCE_$$localPos$1@4 (ite (and
+p3$1@2
+inline$_LOG_READ_$$localPos$2$track@2) #x0000001b _READ_SOURCE_$$localPos$1@3))
+(= (ControlFlow 0 7278) 7364)) $for.cond5$3_correct))))
+(let ((inline$_LOG_READ_$$localPos$2$Entry_correct (=> (and %lbl%+7276 true) (=> (and
+(= inline$_LOG_READ_$$localPos$2$_offset$1@2 (bvadd (bvmul $j.0$1@2 #x00000004) #x00000002))
+(= (ControlFlow 0 7276) 7278)) inline$_LOG_READ_$$localPos$2$_LOG_READ_correct))))
+(let (($for.cond5$2_correct (=> (and %lbl%+7282 true) (=> (= call2733formal@_offset$2@0 (bvadd (bvmul $j.0$2@2 #x00000004) #x00000001)) (and
+(or %lbl%@25618 (=> (= (ControlFlow 0 7282) (- 0 25618)) (not (and
+p3$2@2
+_WRITE_HAS_OCCURRED_$$localPos$1@4
+(= _WRITE_OFFSET_$$localPos$1@4 call2733formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)))))
+(=> (not (and
+p3$2@2
+_WRITE_HAS_OCCURRED_$$localPos$1@4
+(= _WRITE_OFFSET_$$localPos$1@4 call2733formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2))) (and
+(or %lbl%@25654 (=> (= (ControlFlow 0 7282) (- 0 25654)) (=> p3$1@2 true)))
+(=> (=> p3$1@2 true) (=> (and
+(= v15$1@2 (ite p3$1@2 _HAVOC_bv32$1@12 v15$1@1))
+(= v15$2@2 (ite p3$2@2 _HAVOC_bv32$2@12 v15$2@1))
+(= (ControlFlow 0 7282) 7276)) inline$_LOG_READ_$$localPos$2$Entry_correct)))))))))
+(let ((inline$_LOG_READ_$$localPos$1$_LOG_READ_correct (=> (and %lbl%+7196 true) (=> (and
+(= _READ_HAS_OCCURRED_$$localPos$1@3 (ite (and
+p3$1@2
+inline$_LOG_READ_$$localPos$1$track@2) true _READ_HAS_OCCURRED_$$localPos$1@2))
+(= _READ_OFFSET_$$localPos$1@3 (ite (and
+p3$1@2
+inline$_LOG_READ_$$localPos$1$track@2) inline$_LOG_READ_$$localPos$1$_offset$1@2 _READ_OFFSET_$$localPos$1@2))
+(= _READ_SOURCE_$$localPos$1@3 (ite (and
+p3$1@2
+inline$_LOG_READ_$$localPos$1$track@2) #x0000001a _READ_SOURCE_$$localPos$1@2))
+(= (ControlFlow 0 7196) 7282)) $for.cond5$2_correct))))
+(let ((inline$_LOG_READ_$$localPos$1$Entry_correct (=> (and %lbl%+7194 true) (=> (and
+(= inline$_LOG_READ_$$localPos$1$_offset$1@2 (bvadd (bvmul $j.0$1@2 #x00000004) #x00000001))
+(= (ControlFlow 0 7194) 7196)) inline$_LOG_READ_$$localPos$1$_LOG_READ_correct))))
+(let (($for.cond5$1_correct (=> (and %lbl%+7200 true) (=> (= call2680formal@_offset$2@0 (bvmul $j.0$2@2 #x00000004)) (and
+(or %lbl%@25461 (=> (= (ControlFlow 0 7200) (- 0 25461)) (not (and
+p3$2@2
+_WRITE_HAS_OCCURRED_$$localPos$1@4
+(= _WRITE_OFFSET_$$localPos$1@4 call2680formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)))))
+(=> (not (and
+p3$2@2
+_WRITE_HAS_OCCURRED_$$localPos$1@4
+(= _WRITE_OFFSET_$$localPos$1@4 call2680formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2))) (and
+(or %lbl%@25497 (=> (= (ControlFlow 0 7200) (- 0 25497)) (=> p3$1@2 true)))
+(=> (=> p3$1@2 true) (=> (and
+(= v14$1@2 (ite p3$1@2 _HAVOC_bv32$1@11 v14$1@1))
+(= v14$2@2 (ite p3$2@2 _HAVOC_bv32$2@11 v14$2@1))
+(= (ControlFlow 0 7200) 7194)) inline$_LOG_READ_$$localPos$1$Entry_correct)))))))))
+(let ((inline$_LOG_READ_$$localPos$0$_LOG_READ_correct (=> (and %lbl%+7114 true) (=> (and
+(= _READ_HAS_OCCURRED_$$localPos$1@2 (ite (and
+p3$1@2
+inline$_LOG_READ_$$localPos$0$track@2) true _READ_HAS_OCCURRED_$$localPos$1@1))
+(= _READ_OFFSET_$$localPos$1@2 (ite (and
+p3$1@2
+inline$_LOG_READ_$$localPos$0$track@2) inline$_LOG_READ_$$localPos$0$_offset$1@2 _READ_OFFSET_$$localPos$1@1))
+(= _READ_SOURCE_$$localPos$1@2 (ite (and
+p3$1@2
+inline$_LOG_READ_$$localPos$0$track@2) #x00000019 _READ_SOURCE_$$localPos$1@1))
+(= (ControlFlow 0 7114) 7200)) $for.cond5$1_correct))))
+(let ((inline$_LOG_READ_$$localPos$0$Entry_correct (=> (and %lbl%+7112 true) (=> (and
+(= inline$_LOG_READ_$$localPos$0$_offset$1@2 (bvmul $j.0$1@2 #x00000004))
+(= (ControlFlow 0 7112) 7114)) inline$_LOG_READ_$$localPos$0$_LOG_READ_correct))))
+(let (($for.cond5_correct (=> (and %lbl%+7118 true) (=> (=> _b11 (=> _READ_HAS_OCCURRED_$$localPos$1@1 (or
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@1) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvmul #x00000000 #x00000004)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@1) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000001)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@1) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000002)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@1) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@1) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003)))))) (=> (and
+(=> _READ_HAS_OCCURRED_$$vel$1 (or
+(= _READ_SOURCE_$$vel$1 #x00000005)
+(= _READ_SOURCE_$$vel$1 #x00000006)
+(= _READ_SOURCE_$$vel$1 #x00000007)
+(= _READ_SOURCE_$$vel$1 #x00000008)))
+(=> _WRITE_HAS_OCCURRED_$$vel$1 false)
+(=> (not _READ_HAS_OCCURRED_$$vel$1) (= _READ_SOURCE_$$vel$1 #x00000000))
+(=> (not _WRITE_HAS_OCCURRED_$$vel$1) (= _WRITE_SOURCE_$$vel$1 #x00000000))) (=> (and
+(=> _READ_HAS_OCCURRED_$$pos$1@8 (or
+(= _READ_SOURCE_$$pos$1@8 #x00000001)
+(= _READ_SOURCE_$$pos$1@8 #x00000002)
+(= _READ_SOURCE_$$pos$1@8 #x00000003)
+(= _READ_SOURCE_$$pos$1@8 #x00000004)
+(= _READ_SOURCE_$$pos$1@8 #x00000011)
+(= _READ_SOURCE_$$pos$1@8 #x00000012)
+(= _READ_SOURCE_$$pos$1@8 #x00000013)
+(= _READ_SOURCE_$$pos$1@8 #x00000014)))
+(=> _WRITE_HAS_OCCURRED_$$pos$1 false)
+(=> (not _READ_HAS_OCCURRED_$$pos$1@8) (= _READ_SOURCE_$$pos$1@8 #x00000000))
+(=> (not _WRITE_HAS_OCCURRED_$$pos$1) (= _WRITE_SOURCE_$$pos$1 #x00000000))
+(=> _READ_HAS_OCCURRED_$$localPos$1@1 (or
+(= _READ_SOURCE_$$localPos$1@1 #x00000019)
+(= _READ_SOURCE_$$localPos$1@1 #x0000001a)
+(= _READ_SOURCE_$$localPos$1@1 #x0000001b)
+(= _READ_SOURCE_$$localPos$1@1 #x0000001c)
+(= _READ_SOURCE_$$localPos$1@1 #x0000001d)))
+(=> _WRITE_HAS_OCCURRED_$$localPos$1@4 (or
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000015)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000016)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000017)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000018)))
+(=> (not _READ_HAS_OCCURRED_$$localPos$1@1) (= _READ_SOURCE_$$localPos$1@1 #x00000000))
+(=> (not _WRITE_HAS_OCCURRED_$$localPos$1@4) (= _WRITE_SOURCE_$$localPos$1@4 #x00000000))) (=> (and
+(=> _READ_HAS_OCCURRED_$$newVelocity$1 false)
+(=> _WRITE_HAS_OCCURRED_$$newVelocity$1 (or
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000d)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000e)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000f)
+(= _WRITE_SOURCE_$$newVelocity$1 #x00000010)))
+(=> (not _READ_HAS_OCCURRED_$$newVelocity$1) (= _READ_SOURCE_$$newVelocity$1 #x00000000))
+(=> (not _WRITE_HAS_OCCURRED_$$newVelocity$1) (= _WRITE_SOURCE_$$newVelocity$1 #x00000000))
+(=> _READ_HAS_OCCURRED_$$newPosition$1 false)
+(=> _WRITE_HAS_OCCURRED_$$newPosition$1 (or
+(= _WRITE_SOURCE_$$newPosition$1 #x00000009)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000a)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000b)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000c)))
+(=> (not _READ_HAS_OCCURRED_$$newPosition$1) (= _READ_SOURCE_$$newPosition$1 #x00000000))
+(=> (not _WRITE_HAS_OCCURRED_$$newPosition$1) (= _WRITE_SOURCE_$$newPosition$1 #x00000000))
+(=> p2$1@2 p0$1@2)
+(=> p2$2@2 p0$2@2)
+(= v12$1@2 (ite p2$1@2 (bvult $j.0$1@2 v2$1@0) v12$1@1))
+(= v12$2@2 (ite p2$2@2 (bvult $j.0$2@2 v2$2@0) v12$2@1))
+(= p3$1@2 (ite p2$1@2 v12$1@2 false))
+(= p3$2@2 (ite p2$2@2 v12$2@2 false))
+(= p2$1@3 (ite p2$1@2 v12$1@2 p2$1@2))
+(= p2$2@3 (ite p2$2@2 v12$2@2 p2$2@2))) (and
+(or %lbl%@25348 (=> (= (ControlFlow 0 7118) (- 0 25348)) (=> p3$1@2 true)))
+(=> (=> p3$1@2 true) (=> (and
+(= v13$1@2 (ite p3$1@2 _HAVOC_bv32$1@10 v13$1@1))
+(= v13$2@2 (ite p3$2@2 _HAVOC_bv32$2@10 v13$2@1))
+(= (ControlFlow 0 7118) 7112)) inline$_LOG_READ_$$localPos$0$Entry_correct))))))))))
+(let (($for.cond$9_correct (=> (and %lbl%+7036 true) (=> (and
+(= $acc.1$1@1 (ite p1$1@1 $acc.0$1@1 $acc.1$1@0))
+(= $acc.1$2@1 (ite p1$2@1 $acc.0$2@1 $acc.1$2@0))
+(= $j.0$1@1 (ite p1$1@1 #x00000000 $j.0$1@0))
+(= $j.0$2@1 (ite p1$2@1 #x00000000 $j.0$2@0))
+(= p2$1@1 (ite p1$1@1 true false))
+(= p2$2@1 (ite p1$2@1 true false))) (and
+(or %lbl%@23930 (=> (= (ControlFlow 0 7036) (- 0 23930)) (=> _b11 (=> _READ_HAS_OCCURRED_$$localPos$1@0 (or
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@0) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvmul #x00000000 #x00000004)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@0) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000001)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@0) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000002)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@0) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@0) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003))))))))
+(=> (=> _b11 (=> _READ_HAS_OCCURRED_$$localPos$1@0 (or
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@0) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvmul #x00000000 #x00000004)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@0) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000001)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@0) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000002)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@0) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@0) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003)))))) (and
+(or %lbl%@24126 (=> (= (ControlFlow 0 7036) (- 0 24126)) (=> _READ_HAS_OCCURRED_$$vel$1 (or
+(= _READ_SOURCE_$$vel$1 #x00000005)
+(= _READ_SOURCE_$$vel$1 #x00000006)
+(= _READ_SOURCE_$$vel$1 #x00000007)
+(= _READ_SOURCE_$$vel$1 #x00000008)))))
+(=> (=> _READ_HAS_OCCURRED_$$vel$1 (or
+(= _READ_SOURCE_$$vel$1 #x00000005)
+(= _READ_SOURCE_$$vel$1 #x00000006)
+(= _READ_SOURCE_$$vel$1 #x00000007)
+(= _READ_SOURCE_$$vel$1 #x00000008))) (and
+(or %lbl%@24160 (=> (= (ControlFlow 0 7036) (- 0 24160)) (=> _WRITE_HAS_OCCURRED_$$vel$1 false)))
+(=> (=> _WRITE_HAS_OCCURRED_$$vel$1 false) (and
+(or %lbl%@24166 (=> (= (ControlFlow 0 7036) (- 0 24166)) (=> (not _READ_HAS_OCCURRED_$$vel$1) (= _READ_SOURCE_$$vel$1 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$vel$1) (= _READ_SOURCE_$$vel$1 #x00000000)) (and
+(or %lbl%@24178 (=> (= (ControlFlow 0 7036) (- 0 24178)) (=> (not _WRITE_HAS_OCCURRED_$$vel$1) (= _WRITE_SOURCE_$$vel$1 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$vel$1) (= _WRITE_SOURCE_$$vel$1 #x00000000)) (and
+(or %lbl%@24190 (=> (= (ControlFlow 0 7036) (- 0 24190)) (=> _READ_HAS_OCCURRED_$$pos$1@8 (or
+(= _READ_SOURCE_$$pos$1@8 #x00000001)
+(= _READ_SOURCE_$$pos$1@8 #x00000002)
+(= _READ_SOURCE_$$pos$1@8 #x00000003)
+(= _READ_SOURCE_$$pos$1@8 #x00000004)
+(= _READ_SOURCE_$$pos$1@8 #x00000011)
+(= _READ_SOURCE_$$pos$1@8 #x00000012)
+(= _READ_SOURCE_$$pos$1@8 #x00000013)
+(= _READ_SOURCE_$$pos$1@8 #x00000014)))))
+(=> (=> _READ_HAS_OCCURRED_$$pos$1@8 (or
+(= _READ_SOURCE_$$pos$1@8 #x00000001)
+(= _READ_SOURCE_$$pos$1@8 #x00000002)
+(= _READ_SOURCE_$$pos$1@8 #x00000003)
+(= _READ_SOURCE_$$pos$1@8 #x00000004)
+(= _READ_SOURCE_$$pos$1@8 #x00000011)
+(= _READ_SOURCE_$$pos$1@8 #x00000012)
+(= _READ_SOURCE_$$pos$1@8 #x00000013)
+(= _READ_SOURCE_$$pos$1@8 #x00000014))) (and
+(or %lbl%@24247 (=> (= (ControlFlow 0 7036) (- 0 24247)) (=> _WRITE_HAS_OCCURRED_$$pos$1 false)))
+(=> (=> _WRITE_HAS_OCCURRED_$$pos$1 false) (and
+(or %lbl%@24253 (=> (= (ControlFlow 0 7036) (- 0 24253)) (=> (not _READ_HAS_OCCURRED_$$pos$1@8) (= _READ_SOURCE_$$pos$1@8 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$pos$1@8) (= _READ_SOURCE_$$pos$1@8 #x00000000)) (and
+(or %lbl%@24263 (=> (= (ControlFlow 0 7036) (- 0 24263)) (=> (not _WRITE_HAS_OCCURRED_$$pos$1) (= _WRITE_SOURCE_$$pos$1 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$pos$1) (= _WRITE_SOURCE_$$pos$1 #x00000000)) (and
+(or %lbl%@24275 (=> (= (ControlFlow 0 7036) (- 0 24275)) (=> _READ_HAS_OCCURRED_$$localPos$1@0 (or
+(= _READ_SOURCE_$$localPos$1@0 #x00000019)
+(= _READ_SOURCE_$$localPos$1@0 #x0000001a)
+(= _READ_SOURCE_$$localPos$1@0 #x0000001b)
+(= _READ_SOURCE_$$localPos$1@0 #x0000001c)
+(= _READ_SOURCE_$$localPos$1@0 #x0000001d)))))
+(=> (=> _READ_HAS_OCCURRED_$$localPos$1@0 (or
+(= _READ_SOURCE_$$localPos$1@0 #x00000019)
+(= _READ_SOURCE_$$localPos$1@0 #x0000001a)
+(= _READ_SOURCE_$$localPos$1@0 #x0000001b)
+(= _READ_SOURCE_$$localPos$1@0 #x0000001c)
+(= _READ_SOURCE_$$localPos$1@0 #x0000001d))) (and
+(or %lbl%@24311 (=> (= (ControlFlow 0 7036) (- 0 24311)) (=> _WRITE_HAS_OCCURRED_$$localPos$1@4 (or
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000015)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000016)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000017)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000018)))))
+(=> (=> _WRITE_HAS_OCCURRED_$$localPos$1@4 (or
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000015)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000016)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000017)
+(= _WRITE_SOURCE_$$localPos$1@4 #x00000018))) (and
+(or %lbl%@24340 (=> (= (ControlFlow 0 7036) (- 0 24340)) (=> (not _READ_HAS_OCCURRED_$$localPos$1@0) (= _READ_SOURCE_$$localPos$1@0 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$localPos$1@0) (= _READ_SOURCE_$$localPos$1@0 #x00000000)) (and
+(or %lbl%@24350 (=> (= (ControlFlow 0 7036) (- 0 24350)) (=> (not _WRITE_HAS_OCCURRED_$$localPos$1@4) (= _WRITE_SOURCE_$$localPos$1@4 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$localPos$1@4) (= _WRITE_SOURCE_$$localPos$1@4 #x00000000)) (and
+(or %lbl%@24360 (=> (= (ControlFlow 0 7036) (- 0 24360)) (=> _READ_HAS_OCCURRED_$$newVelocity$1 false)))
+(=> (=> _READ_HAS_OCCURRED_$$newVelocity$1 false) (and
+(or %lbl%@24366 (=> (= (ControlFlow 0 7036) (- 0 24366)) (=> _WRITE_HAS_OCCURRED_$$newVelocity$1 (or
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000d)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000e)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000f)
+(= _WRITE_SOURCE_$$newVelocity$1 #x00000010)))))
+(=> (=> _WRITE_HAS_OCCURRED_$$newVelocity$1 (or
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000d)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000e)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000f)
+(= _WRITE_SOURCE_$$newVelocity$1 #x00000010))) (and
+(or %lbl%@24400 (=> (= (ControlFlow 0 7036) (- 0 24400)) (=> (not _READ_HAS_OCCURRED_$$newVelocity$1) (= _READ_SOURCE_$$newVelocity$1 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$newVelocity$1) (= _READ_SOURCE_$$newVelocity$1 #x00000000)) (and
+(or %lbl%@24412 (=> (= (ControlFlow 0 7036) (- 0 24412)) (=> (not _WRITE_HAS_OCCURRED_$$newVelocity$1) (= _WRITE_SOURCE_$$newVelocity$1 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$newVelocity$1) (= _WRITE_SOURCE_$$newVelocity$1 #x00000000)) (and
+(or %lbl%@24424 (=> (= (ControlFlow 0 7036) (- 0 24424)) (=> _READ_HAS_OCCURRED_$$newPosition$1 false)))
+(=> (=> _READ_HAS_OCCURRED_$$newPosition$1 false) (and
+(or %lbl%@24430 (=> (= (ControlFlow 0 7036) (- 0 24430)) (=> _WRITE_HAS_OCCURRED_$$newPosition$1 (or
+(= _WRITE_SOURCE_$$newPosition$1 #x00000009)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000a)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000b)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000c)))))
+(=> (=> _WRITE_HAS_OCCURRED_$$newPosition$1 (or
+(= _WRITE_SOURCE_$$newPosition$1 #x00000009)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000a)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000b)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000c))) (and
+(or %lbl%@24464 (=> (= (ControlFlow 0 7036) (- 0 24464)) (=> (not _READ_HAS_OCCURRED_$$newPosition$1) (= _READ_SOURCE_$$newPosition$1 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$newPosition$1) (= _READ_SOURCE_$$newPosition$1 #x00000000)) (and
+(or %lbl%@24476 (=> (= (ControlFlow 0 7036) (- 0 24476)) (=> (not _WRITE_HAS_OCCURRED_$$newPosition$1) (= _WRITE_SOURCE_$$newPosition$1 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$newPosition$1) (= _WRITE_SOURCE_$$newPosition$1 #x00000000)) (and
+(or %lbl%@24488 (=> (= (ControlFlow 0 7036) (- 0 24488)) (=> p2$1@1 p0$1@2)))
+(=> (=> p2$1@1 p0$1@2) (and
+(or %lbl%@24492 (=> (= (ControlFlow 0 7036) (- 0 24492)) (=> p2$2@1 p0$2@2)))
+(=> (=> p2$2@1 p0$2@2) (=> (= (ControlFlow 0 7036) 7118) $for.cond5_correct)))))))))))))))))))))))))))))))))))))))))))))))))))
+(let ((inline$$bugle_barrier$0$Return_correct (=> (and %lbl%+7032 true) (=> (= (ControlFlow 0 7032) 7036) $for.cond$9_correct))))
+(let ((inline$$bugle_barrier$0$anon18_Else_correct (=> (and %lbl%+7020 true) (=> (and
+(not (and
+p1$2@1
+(= inline$$bugle_barrier$0$$1$2@1 #b1)))
+(= (ControlFlow 0 7020) 7032)) inline$$bugle_barrier$0$Return_correct))))
+(let ((inline$$bugle_barrier$0$anon18_Then_correct (=> (and %lbl%+7022 true) (=> (and
+p1$2@1
+(= inline$$bugle_barrier$0$$1$2@1 #b1)
+(= (ControlFlow 0 7022) 7032)) inline$$bugle_barrier$0$Return_correct))))
+(let ((inline$$bugle_barrier$0$anon9_correct (=> (and %lbl%+7018 true) (and
+(=> (= (ControlFlow 0 7018) 7022) inline$$bugle_barrier$0$anon18_Then_correct)
+(=> (= (ControlFlow 0 7018) 7020) inline$$bugle_barrier$0$anon18_Else_correct)))))
+(let ((inline$$bugle_barrier$0$anon17_Else_correct (=> (and %lbl%+7016 true) (=> (and
+(not (and
+p1$1@1
+(= inline$$bugle_barrier$0$$1$1@1 #b1)))
+(= (ControlFlow 0 7016) 7018)) inline$$bugle_barrier$0$anon9_correct))))
+(let ((inline$$bugle_barrier$0$anon17_Then_correct (=> (and %lbl%+7024 true) (=> (and
+p1$1@1
+(= inline$$bugle_barrier$0$$1$1@1 #b1)) (=> (and
+(=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (not _READ_HAS_OCCURRED_$$pos$1@8))
+(=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (not _WRITE_HAS_OCCURRED_$$pos$1))
+(=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (not _READ_HAS_OCCURRED_$$vel$1))
+(=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (not _WRITE_HAS_OCCURRED_$$vel$1))
+(=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (not _READ_HAS_OCCURRED_$$newPosition$1))
+(=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (not _WRITE_HAS_OCCURRED_$$newPosition$1))
+(=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (not _READ_HAS_OCCURRED_$$newVelocity$1))
+(=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (not _WRITE_HAS_OCCURRED_$$newVelocity$1))) (and
+(=> (= (ControlFlow 0 7024) 7022) inline$$bugle_barrier$0$anon18_Then_correct)
+(=> (= (ControlFlow 0 7024) 7020) inline$$bugle_barrier$0$anon18_Else_correct)))))))
+(let ((inline$$bugle_barrier$0$anon4_correct (=> (and %lbl%+7014 true) (and
+(=> (= (ControlFlow 0 7014) 7024) inline$$bugle_barrier$0$anon17_Then_correct)
+(=> (= (ControlFlow 0 7014) 7016) inline$$bugle_barrier$0$anon17_Else_correct)))))
+(let ((inline$$bugle_barrier$0$anon16_Else_correct (=> (and %lbl%+7012 true) (=> (and
+(not (and
+p1$2@1
+(= inline$$bugle_barrier$0$$0$2@1 #b1)))
+(= (ControlFlow 0 7012) 7014)) inline$$bugle_barrier$0$anon4_correct))))
+(let ((inline$$bugle_barrier$0$anon16_Then_correct (=> (and %lbl%+7026 true) (=> (and
+p1$2@1
+(= inline$$bugle_barrier$0$$0$2@1 #b1)) (and
+(=> (= (ControlFlow 0 7026) 7024) inline$$bugle_barrier$0$anon17_Then_correct)
+(=> (= (ControlFlow 0 7026) 7016) inline$$bugle_barrier$0$anon17_Else_correct))))))
+(let ((inline$$bugle_barrier$0$anon2_correct (=> (and %lbl%+7010 true) (and
+(=> (= (ControlFlow 0 7010) 7026) inline$$bugle_barrier$0$anon16_Then_correct)
+(=> (= (ControlFlow 0 7010) 7012) inline$$bugle_barrier$0$anon16_Else_correct)))))
+(let ((inline$$bugle_barrier$0$anon15_Else_correct (=> (and %lbl%+7008 true) (=> (and
+(not (and
+p1$1@1
+(= inline$$bugle_barrier$0$$0$1@1 #b1)))
+(= (ControlFlow 0 7008) 7010)) inline$$bugle_barrier$0$anon2_correct))))
+(let ((inline$$bugle_barrier$0$anon15_Then_correct (=> (and %lbl%+7028 true) (=> (and
+p1$1@1
+(= inline$$bugle_barrier$0$$0$1@1 #b1)
+(not _READ_HAS_OCCURRED_$$localPos$1@0)
+(not _WRITE_HAS_OCCURRED_$$localPos$1@4)) (and
+(=> (= (ControlFlow 0 7028) 7026) inline$$bugle_barrier$0$anon16_Then_correct)
+(=> (= (ControlFlow 0 7028) 7012) inline$$bugle_barrier$0$anon16_Else_correct))))))
+(let ((inline$$bugle_barrier$0$anon14_Else_correct (=> (and %lbl%+7006 true) (=> (not (or
+(and
+(not p1$1@1)
+(not p1$2@1))
+(and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)
+(or
+(not p1$1@1)
+(not p1$2@1))))) (and
+(=> (= (ControlFlow 0 7006) 7028) inline$$bugle_barrier$0$anon15_Then_correct)
+(=> (= (ControlFlow 0 7006) 7008) inline$$bugle_barrier$0$anon15_Else_correct))))))
+(let ((inline$$bugle_barrier$0$anon14_Then_correct (=> (and %lbl%+7030 true) (=> (and
+(or
+(and
+(not p1$1@1)
+(not p1$2@1))
+(and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)
+(or
+(not p1$1@1)
+(not p1$2@1))))
+(= (ControlFlow 0 7030) 7036)) $for.cond$9_correct))))
+(let ((inline$$bugle_barrier$0$Entry_correct (=> (and %lbl%+7002 true) (=> (and
+(= inline$$bugle_barrier$0$$0$1@1 (ite true #b1 #b0))
+(= inline$$bugle_barrier$0$$1$1@1 (ite false #b1 #b0))
+(= inline$$bugle_barrier$0$$0$2@1 (ite true #b1 #b0))
+(= inline$$bugle_barrier$0$$1$2@1 (ite false #b1 #b0))) (and
+(or %lbl%@23449 (=> (= (ControlFlow 0 7002) (- 0 23449)) (=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (= p1$1@1 p1$2@1))))
+(=> (=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (= p1$1@1 p1$2@1)) (and
+(=> (= (ControlFlow 0 7002) 7030) inline$$bugle_barrier$0$anon14_Then_correct)
+(=> (= (ControlFlow 0 7002) 7006) inline$$bugle_barrier$0$anon14_Else_correct))))))))
+(let (($for.cond$8_correct (=> (and %lbl%+7034 true) (=> (= call2152formal@_offset$2@0 (bvadd (bvmul v0$2@0 #x00000004) #x00000003)) (and
+(or %lbl%@23317 (=> (= (ControlFlow 0 7034) (- 0 23317)) (not (and
+p1$2@1
+_WRITE_HAS_OCCURRED_$$localPos$1@4
+(= _WRITE_OFFSET_$$localPos$1@4 call2152formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)))))
+(=> (not (and
+p1$2@1
+_WRITE_HAS_OCCURRED_$$localPos$1@4
+(= _WRITE_OFFSET_$$localPos$1@4 call2152formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2))) (and
+(or %lbl%@23353 (=> (= (ControlFlow 0 7034) (- 0 23353)) (not (and
+p1$2@1
+_READ_HAS_OCCURRED_$$localPos$1@0
+(= _READ_OFFSET_$$localPos$1@0 call2152formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)))))
+(=> (not (and
+p1$2@1
+_READ_HAS_OCCURRED_$$localPos$1@0
+(= _READ_OFFSET_$$localPos$1@0 call2152formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2))) (=> (= (ControlFlow 0 7034) 7002) inline$$bugle_barrier$0$Entry_correct)))))))))
+(let ((inline$_LOG_WRITE_$$localPos$3$_LOG_WRITE_correct (=> (and %lbl%+6495 true) (=> (and
+(= _WRITE_HAS_OCCURRED_$$localPos$1@4 (ite (and
+p1$1@1
+inline$_LOG_WRITE_$$localPos$3$track@1) true _WRITE_HAS_OCCURRED_$$localPos$1@3))
+(= _WRITE_OFFSET_$$localPos$1@4 (ite (and
+p1$1@1
+inline$_LOG_WRITE_$$localPos$3$track@1) inline$_LOG_WRITE_$$localPos$3$_offset$1@1 _WRITE_OFFSET_$$localPos$1@3))
+(= _WRITE_SOURCE_$$localPos$1@4 (ite (and
+p1$1@1
+inline$_LOG_WRITE_$$localPos$3$track@1) #x00000018 _WRITE_SOURCE_$$localPos$1@3))
+(= (ControlFlow 0 6495) 7034)) $for.cond$8_correct))))
+(let ((inline$_LOG_WRITE_$$localPos$3$Entry_correct (=> (and %lbl%+6493 true) (=> (and
+(= inline$_LOG_WRITE_$$localPos$3$_offset$1@1 (bvadd (bvmul v0$1@0 #x00000004) #x00000003))
+(= (ControlFlow 0 6493) 6495)) inline$_LOG_WRITE_$$localPos$3$_LOG_WRITE_correct))))
+(let (($for.cond$7_correct (=> (and %lbl%+6499 true) (=> (= call2115formal@_offset$2@0 (bvadd (bvmul v0$2@0 #x00000004) #x00000002)) (and
+(or %lbl%@23151 (=> (= (ControlFlow 0 6499) (- 0 23151)) (not (and
+p1$2@1
+_WRITE_HAS_OCCURRED_$$localPos$1@3
+(= _WRITE_OFFSET_$$localPos$1@3 call2115formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)))))
+(=> (not (and
+p1$2@1
+_WRITE_HAS_OCCURRED_$$localPos$1@3
+(= _WRITE_OFFSET_$$localPos$1@3 call2115formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2))) (and
+(or %lbl%@23187 (=> (= (ControlFlow 0 6499) (- 0 23187)) (not (and
+p1$2@1
+_READ_HAS_OCCURRED_$$localPos$1@0
+(= _READ_OFFSET_$$localPos$1@0 call2115formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)))))
+(=> (not (and
+p1$2@1
+_READ_HAS_OCCURRED_$$localPos$1@0
+(= _READ_OFFSET_$$localPos$1@0 call2115formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2))) (and
+(or %lbl%@23223 (=> (= (ControlFlow 0 6499) (- 0 23223)) (=> p1$1@1 true)))
+(=> (=> p1$1@1 true) (=> (= (ControlFlow 0 6499) 6493) inline$_LOG_WRITE_$$localPos$3$Entry_correct)))))))))))
+(let ((inline$_LOG_WRITE_$$localPos$2$_LOG_WRITE_correct (=> (and %lbl%+6413 true) (=> (and
+(= _WRITE_HAS_OCCURRED_$$localPos$1@3 (ite (and
+p1$1@1
+inline$_LOG_WRITE_$$localPos$2$track@1) true _WRITE_HAS_OCCURRED_$$localPos$1@2))
+(= _WRITE_OFFSET_$$localPos$1@3 (ite (and
+p1$1@1
+inline$_LOG_WRITE_$$localPos$2$track@1) inline$_LOG_WRITE_$$localPos$2$_offset$1@1 _WRITE_OFFSET_$$localPos$1@2))
+(= _WRITE_SOURCE_$$localPos$1@3 (ite (and
+p1$1@1
+inline$_LOG_WRITE_$$localPos$2$track@1) #x00000017 _WRITE_SOURCE_$$localPos$1@2))
+(= (ControlFlow 0 6413) 6499)) $for.cond$7_correct))))
+(let ((inline$_LOG_WRITE_$$localPos$2$Entry_correct (=> (and %lbl%+6411 true) (=> (and
+(= inline$_LOG_WRITE_$$localPos$2$_offset$1@1 (bvadd (bvmul v0$1@0 #x00000004) #x00000002))
+(= (ControlFlow 0 6411) 6413)) inline$_LOG_WRITE_$$localPos$2$_LOG_WRITE_correct))))
+(let (($for.cond$6_correct (=> (and %lbl%+6417 true) (=> (= call2078formal@_offset$2@0 (bvadd (bvmul v0$2@0 #x00000004) #x00000001)) (and
+(or %lbl%@22985 (=> (= (ControlFlow 0 6417) (- 0 22985)) (not (and
+p1$2@1
+_WRITE_HAS_OCCURRED_$$localPos$1@2
+(= _WRITE_OFFSET_$$localPos$1@2 call2078formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)))))
+(=> (not (and
+p1$2@1
+_WRITE_HAS_OCCURRED_$$localPos$1@2
+(= _WRITE_OFFSET_$$localPos$1@2 call2078formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2))) (and
+(or %lbl%@23021 (=> (= (ControlFlow 0 6417) (- 0 23021)) (not (and
+p1$2@1
+_READ_HAS_OCCURRED_$$localPos$1@0
+(= _READ_OFFSET_$$localPos$1@0 call2078formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)))))
+(=> (not (and
+p1$2@1
+_READ_HAS_OCCURRED_$$localPos$1@0
+(= _READ_OFFSET_$$localPos$1@0 call2078formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2))) (and
+(or %lbl%@23057 (=> (= (ControlFlow 0 6417) (- 0 23057)) (=> p1$1@1 true)))
+(=> (=> p1$1@1 true) (=> (= (ControlFlow 0 6417) 6411) inline$_LOG_WRITE_$$localPos$2$Entry_correct)))))))))))
+(let ((inline$_LOG_WRITE_$$localPos$1$_LOG_WRITE_correct (=> (and %lbl%+6331 true) (=> (and
+(= _WRITE_HAS_OCCURRED_$$localPos$1@2 (ite (and
+p1$1@1
+inline$_LOG_WRITE_$$localPos$1$track@1) true _WRITE_HAS_OCCURRED_$$localPos$1@1))
+(= _WRITE_OFFSET_$$localPos$1@2 (ite (and
+p1$1@1
+inline$_LOG_WRITE_$$localPos$1$track@1) inline$_LOG_WRITE_$$localPos$1$_offset$1@1 _WRITE_OFFSET_$$localPos$1@1))
+(= _WRITE_SOURCE_$$localPos$1@2 (ite (and
+p1$1@1
+inline$_LOG_WRITE_$$localPos$1$track@1) #x00000016 _WRITE_SOURCE_$$localPos$1@1))
+(= (ControlFlow 0 6331) 6417)) $for.cond$6_correct))))
+(let ((inline$_LOG_WRITE_$$localPos$1$Entry_correct (=> (and %lbl%+6329 true) (=> (and
+(= inline$_LOG_WRITE_$$localPos$1$_offset$1@1 (bvadd (bvmul v0$1@0 #x00000004) #x00000001))
+(= (ControlFlow 0 6329) 6331)) inline$_LOG_WRITE_$$localPos$1$_LOG_WRITE_correct))))
+(let (($for.cond$5_correct (=> (and %lbl%+6335 true) (=> (= call2041formal@_offset$2@0 (bvmul v0$2@0 #x00000004)) (and
+(or %lbl%@22819 (=> (= (ControlFlow 0 6335) (- 0 22819)) (not (and
+p1$2@1
+_WRITE_HAS_OCCURRED_$$localPos$1@1
+(= _WRITE_OFFSET_$$localPos$1@1 call2041formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)))))
+(=> (not (and
+p1$2@1
+_WRITE_HAS_OCCURRED_$$localPos$1@1
+(= _WRITE_OFFSET_$$localPos$1@1 call2041formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2))) (and
+(or %lbl%@22855 (=> (= (ControlFlow 0 6335) (- 0 22855)) (not (and
+p1$2@1
+_READ_HAS_OCCURRED_$$localPos$1@0
+(= _READ_OFFSET_$$localPos$1@0 call2041formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)))))
+(=> (not (and
+p1$2@1
+_READ_HAS_OCCURRED_$$localPos$1@0
+(= _READ_OFFSET_$$localPos$1@0 call2041formal@_offset$2@0)
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2))) (and
+(or %lbl%@22891 (=> (= (ControlFlow 0 6335) (- 0 22891)) (=> p1$1@1 true)))
+(=> (=> p1$1@1 true) (=> (= (ControlFlow 0 6335) 6329) inline$_LOG_WRITE_$$localPos$1$Entry_correct)))))))))))
+(let ((inline$_LOG_WRITE_$$localPos$0$_LOG_WRITE_correct (=> (and %lbl%+6249 true) (=> (and
+(= _WRITE_HAS_OCCURRED_$$localPos$1@1 (ite (and
+p1$1@1
+inline$_LOG_WRITE_$$localPos$0$track@1) true _WRITE_HAS_OCCURRED_$$localPos$1@0))
+(= _WRITE_OFFSET_$$localPos$1@1 (ite (and
+p1$1@1
+inline$_LOG_WRITE_$$localPos$0$track@1) inline$_LOG_WRITE_$$localPos$0$_offset$1@1 _WRITE_OFFSET_$$localPos$1@0))
+(= _WRITE_SOURCE_$$localPos$1@1 (ite (and
+p1$1@1
+inline$_LOG_WRITE_$$localPos$0$track@1) #x00000015 _WRITE_SOURCE_$$localPos$1@0))
+(= (ControlFlow 0 6249) 6335)) $for.cond$5_correct))))
+(let ((inline$_LOG_WRITE_$$localPos$0$Entry_correct (=> (and %lbl%+6247 true) (=> (and
+(= inline$_LOG_WRITE_$$localPos$0$_offset$1@1 (bvmul v0$1@0 #x00000004))
+(= (ControlFlow 0 6247) 6249)) inline$_LOG_WRITE_$$localPos$0$_LOG_WRITE_correct))))
+(let (($for.cond$4_correct (=> (and %lbl%+6253 true) (=> (= call2010formal@_offset$2@0 (bvadd (bvmul (bvadd (bvmul $i.0$2@1 v2$2@0) v0$2@0) #x00000004) #x00000003)) (and
+(or %lbl%@22719 (=> (= (ControlFlow 0 6253) (- 0 22719)) (not (and
+p1$2@1
+_WRITE_HAS_OCCURRED_$$pos$1
+(= _WRITE_OFFSET_$$pos$1 call2010formal@_offset$2@0)))))
+(=> (not (and
+p1$2@1
+_WRITE_HAS_OCCURRED_$$pos$1
+(= _WRITE_OFFSET_$$pos$1 call2010formal@_offset$2@0))) (and
+(or %lbl%@22733 (=> (= (ControlFlow 0 6253) (- 0 22733)) (=> p1$1@1 true)))
+(=> (=> p1$1@1 true) (=> (= (ControlFlow 0 6253) 6247) inline$_LOG_WRITE_$$localPos$0$Entry_correct)))))))))
+(let ((inline$_LOG_READ_$$pos$7$_LOG_READ_correct (=> (and %lbl%+6167 true) (=> (and
+(= _READ_HAS_OCCURRED_$$pos$1@8 (ite (and
+p1$1@1
+inline$_LOG_READ_$$pos$7$track@1) true _READ_HAS_OCCURRED_$$pos$1@7))
+(= _READ_OFFSET_$$pos$1@8 (ite (and
+p1$1@1
+inline$_LOG_READ_$$pos$7$track@1) inline$_LOG_READ_$$pos$7$_offset$1@1 _READ_OFFSET_$$pos$1@7))
+(= _READ_SOURCE_$$pos$1@8 (ite (and
+p1$1@1
+inline$_LOG_READ_$$pos$7$track@1) #x00000014 _READ_SOURCE_$$pos$1@7))
+(= (ControlFlow 0 6167) 6253)) $for.cond$4_correct))))
+(let ((inline$_LOG_READ_$$pos$7$Entry_correct (=> (and %lbl%+6165 true) (=> (and
+(= inline$_LOG_READ_$$pos$7$_offset$1@1 (bvadd (bvmul (bvadd (bvmul $i.0$1@1 v2$1@0) v0$1@0) #x00000004) #x00000003))
+(= (ControlFlow 0 6165) 6167)) inline$_LOG_READ_$$pos$7$_LOG_READ_correct))))
+(let (($for.cond$3_correct (=> (and %lbl%+6171 true) (=> (= call1945formal@_offset$2@0 (bvadd (bvmul (bvadd (bvmul $i.0$2@1 v2$2@0) v0$2@0) #x00000004) #x00000002)) (and
+(or %lbl%@22572 (=> (= (ControlFlow 0 6171) (- 0 22572)) (not (and
+p1$2@1
+_WRITE_HAS_OCCURRED_$$pos$1
+(= _WRITE_OFFSET_$$pos$1 call1945formal@_offset$2@0)))))
+(=> (not (and
+p1$2@1
+_WRITE_HAS_OCCURRED_$$pos$1
+(= _WRITE_OFFSET_$$pos$1 call1945formal@_offset$2@0))) (and
+(or %lbl%@22586 (=> (= (ControlFlow 0 6171) (- 0 22586)) (=> p1$1@1 true)))
+(=> (=> p1$1@1 true) (=> (and
+(= v11$1@1 (ite p1$1@1 _HAVOC_bv32$1@8 v11$1@0))
+(= v11$2@1 (ite p1$2@1 _HAVOC_bv32$2@8 v11$2@0))
+(= (ControlFlow 0 6171) 6165)) inline$_LOG_READ_$$pos$7$Entry_correct)))))))))
+(let ((inline$_LOG_READ_$$pos$6$_LOG_READ_correct (=> (and %lbl%+6085 true) (=> (and
+(= _READ_HAS_OCCURRED_$$pos$1@7 (ite (and
+p1$1@1
+inline$_LOG_READ_$$pos$6$track@1) true _READ_HAS_OCCURRED_$$pos$1@6))
+(= _READ_OFFSET_$$pos$1@7 (ite (and
+p1$1@1
+inline$_LOG_READ_$$pos$6$track@1) inline$_LOG_READ_$$pos$6$_offset$1@1 _READ_OFFSET_$$pos$1@6))
+(= _READ_SOURCE_$$pos$1@7 (ite (and
+p1$1@1
+inline$_LOG_READ_$$pos$6$track@1) #x00000013 _READ_SOURCE_$$pos$1@6))
+(= (ControlFlow 0 6085) 6171)) $for.cond$3_correct))))
+(let ((inline$_LOG_READ_$$pos$6$Entry_correct (=> (and %lbl%+6083 true) (=> (and
+(= inline$_LOG_READ_$$pos$6$_offset$1@1 (bvadd (bvmul (bvadd (bvmul $i.0$1@1 v2$1@0) v0$1@0) #x00000004) #x00000002))
+(= (ControlFlow 0 6083) 6085)) inline$_LOG_READ_$$pos$6$_LOG_READ_correct))))
+(let (($for.cond$2_correct (=> (and %lbl%+6089 true) (=> (= call1880formal@_offset$2@0 (bvadd (bvmul (bvadd (bvmul $i.0$2@1 v2$2@0) v0$2@0) #x00000004) #x00000001)) (and
+(or %lbl%@22425 (=> (= (ControlFlow 0 6089) (- 0 22425)) (not (and
+p1$2@1
+_WRITE_HAS_OCCURRED_$$pos$1
+(= _WRITE_OFFSET_$$pos$1 call1880formal@_offset$2@0)))))
+(=> (not (and
+p1$2@1
+_WRITE_HAS_OCCURRED_$$pos$1
+(= _WRITE_OFFSET_$$pos$1 call1880formal@_offset$2@0))) (and
+(or %lbl%@22439 (=> (= (ControlFlow 0 6089) (- 0 22439)) (=> p1$1@1 true)))
+(=> (=> p1$1@1 true) (=> (and
+(= v10$1@1 (ite p1$1@1 _HAVOC_bv32$1@7 v10$1@0))
+(= v10$2@1 (ite p1$2@1 _HAVOC_bv32$2@7 v10$2@0))
+(= (ControlFlow 0 6089) 6083)) inline$_LOG_READ_$$pos$6$Entry_correct)))))))))
+(let ((inline$_LOG_READ_$$pos$5$_LOG_READ_correct (=> (and %lbl%+6003 true) (=> (and
+(= _READ_HAS_OCCURRED_$$pos$1@6 (ite (and
+p1$1@1
+inline$_LOG_READ_$$pos$5$track@1) true _READ_HAS_OCCURRED_$$pos$1@5))
+(= _READ_OFFSET_$$pos$1@6 (ite (and
+p1$1@1
+inline$_LOG_READ_$$pos$5$track@1) inline$_LOG_READ_$$pos$5$_offset$1@1 _READ_OFFSET_$$pos$1@5))
+(= _READ_SOURCE_$$pos$1@6 (ite (and
+p1$1@1
+inline$_LOG_READ_$$pos$5$track@1) #x00000012 _READ_SOURCE_$$pos$1@5))
+(= (ControlFlow 0 6003) 6089)) $for.cond$2_correct))))
+(let ((inline$_LOG_READ_$$pos$5$Entry_correct (=> (and %lbl%+6001 true) (=> (and
+(= inline$_LOG_READ_$$pos$5$_offset$1@1 (bvadd (bvmul (bvadd (bvmul $i.0$1@1 v2$1@0) v0$1@0) #x00000004) #x00000001))
+(= (ControlFlow 0 6001) 6003)) inline$_LOG_READ_$$pos$5$_LOG_READ_correct))))
+(let (($for.cond$1_correct (=> (and %lbl%+6007 true) (=> (= call1815formal@_offset$2@0 (bvmul (bvadd (bvmul $i.0$2@1 v2$2@0) v0$2@0) #x00000004)) (and
+(or %lbl%@22278 (=> (= (ControlFlow 0 6007) (- 0 22278)) (not (and
+p1$2@1
+_WRITE_HAS_OCCURRED_$$pos$1
+(= _WRITE_OFFSET_$$pos$1 call1815formal@_offset$2@0)))))
+(=> (not (and
+p1$2@1
+_WRITE_HAS_OCCURRED_$$pos$1
+(= _WRITE_OFFSET_$$pos$1 call1815formal@_offset$2@0))) (and
+(or %lbl%@22292 (=> (= (ControlFlow 0 6007) (- 0 22292)) (=> p1$1@1 true)))
+(=> (=> p1$1@1 true) (=> (and
+(= v9$1@1 (ite p1$1@1 _HAVOC_bv32$1@6 v9$1@0))
+(= v9$2@1 (ite p1$2@1 _HAVOC_bv32$2@6 v9$2@0))
+(= (ControlFlow 0 6007) 6001)) inline$_LOG_READ_$$pos$5$Entry_correct)))))))))
+(let ((inline$_LOG_READ_$$pos$4$_LOG_READ_correct (=> (and %lbl%+5921 true) (=> (and
+(= _READ_HAS_OCCURRED_$$pos$1@5 (ite (and
+p1$1@1
+inline$_LOG_READ_$$pos$4$track@1) true _READ_HAS_OCCURRED_$$pos$1@4))
+(= _READ_OFFSET_$$pos$1@5 (ite (and
+p1$1@1
+inline$_LOG_READ_$$pos$4$track@1) inline$_LOG_READ_$$pos$4$_offset$1@1 _READ_OFFSET_$$pos$1@4))
+(= _READ_SOURCE_$$pos$1@5 (ite (and
+p1$1@1
+inline$_LOG_READ_$$pos$4$track@1) #x00000011 _READ_SOURCE_$$pos$1@4))
+(= (ControlFlow 0 5921) 6007)) $for.cond$1_correct))))
+(let ((inline$_LOG_READ_$$pos$4$Entry_correct (=> (and %lbl%+5919 true) (=> (and
+(= inline$_LOG_READ_$$pos$4$_offset$1@1 (bvmul (bvadd (bvmul $i.0$1@1 v2$1@0) v0$1@0) #x00000004))
+(= (ControlFlow 0 5919) 5921)) inline$_LOG_READ_$$pos$4$_LOG_READ_correct))))
+(let (($for.cond_correct (=> (and %lbl%+5925 true) (=> (=> _b10 (=> _WRITE_HAS_OCCURRED_$$localPos$1@0 (or
+(= _WRITE_OFFSET_$$localPos$1@0 (bvmul local_id_x$1 #x00000004))
+(= _WRITE_OFFSET_$$localPos$1@0 (bvadd (bvmul local_id_x$1 #x00000004) #x00000001))
+(= _WRITE_OFFSET_$$localPos$1@0 (bvadd (bvmul local_id_x$1 #x00000004) #x00000002))
+(= _WRITE_OFFSET_$$localPos$1@0 (bvadd (bvmul local_id_x$1 #x00000004) #x00000003))))) (=> (and
+(=> _b9 (=> _READ_HAS_OCCURRED_$$localPos$1@0 (or
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@0) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvmul #x00000000 #x00000004)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@0) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000001)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@0) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000002)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@0) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1@0) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003))))))
+(=> _b8 (not _WRITE_HAS_OCCURRED_$$localPos$1@0))) (=> (and
+(=> _b7 (not _READ_HAS_OCCURRED_$$localPos$1@0))
+(=> _b6 (=> _READ_HAS_OCCURRED_$$pos$1@4 (or
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@4) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004)))
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@4) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvadd (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004) #x00000001)))
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@4) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvadd (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004) #x00000002)))
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@4) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvadd (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004) #x00000003))))))
+(=> _b5 (not _READ_HAS_OCCURRED_$$pos$1@4))
+(=> _b4 (=> (and
+p0$1@1
+p0$2@1) (= $j.0$1@0 $j.0$2@0)))) (=> (and
+(=> _b3 (=> (and
+p0$1@1
+p0$2@1) (= $acc.1$1@0 $acc.1$2@0)))
+(=> _b2 (=> (and
+p0$1@1
+p0$2@1) (= $i.0$1@1 $i.0$2@1)))
+(=> _b1 (=> (and
+p0$1@1
+p0$2@1) (= $acc.0$1@1 $acc.0$2@1)))
+(=> _b0 (= p0$1@1 p0$2@1))
+(=> _READ_HAS_OCCURRED_$$vel$1 (or
+(= _READ_SOURCE_$$vel$1 #x00000005)
+(= _READ_SOURCE_$$vel$1 #x00000006)
+(= _READ_SOURCE_$$vel$1 #x00000007)
+(= _READ_SOURCE_$$vel$1 #x00000008)))
+(=> _WRITE_HAS_OCCURRED_$$vel$1 false)
+(=> (not _READ_HAS_OCCURRED_$$vel$1) (= _READ_SOURCE_$$vel$1 #x00000000))
+(=> (not _WRITE_HAS_OCCURRED_$$vel$1) (= _WRITE_SOURCE_$$vel$1 #x00000000))
+(=> _READ_HAS_OCCURRED_$$pos$1@4 (or
+(= _READ_SOURCE_$$pos$1@4 #x00000001)
+(= _READ_SOURCE_$$pos$1@4 #x00000002)
+(= _READ_SOURCE_$$pos$1@4 #x00000003)
+(= _READ_SOURCE_$$pos$1@4 #x00000004)
+(= _READ_SOURCE_$$pos$1@4 #x00000011)
+(= _READ_SOURCE_$$pos$1@4 #x00000012)
+(= _READ_SOURCE_$$pos$1@4 #x00000013)
+(= _READ_SOURCE_$$pos$1@4 #x00000014)))
+(=> _WRITE_HAS_OCCURRED_$$pos$1 false)
+(=> (not _READ_HAS_OCCURRED_$$pos$1@4) (= _READ_SOURCE_$$pos$1@4 #x00000000))
+(=> (not _WRITE_HAS_OCCURRED_$$pos$1) (= _WRITE_SOURCE_$$pos$1 #x00000000))
+(=> _READ_HAS_OCCURRED_$$localPos$1@0 (or
+(= _READ_SOURCE_$$localPos$1@0 #x00000019)
+(= _READ_SOURCE_$$localPos$1@0 #x0000001a)
+(= _READ_SOURCE_$$localPos$1@0 #x0000001b)
+(= _READ_SOURCE_$$localPos$1@0 #x0000001c)
+(= _READ_SOURCE_$$localPos$1@0 #x0000001d)))
+(=> _WRITE_HAS_OCCURRED_$$localPos$1@0 (or
+(= _WRITE_SOURCE_$$localPos$1@0 #x00000015)
+(= _WRITE_SOURCE_$$localPos$1@0 #x00000016)
+(= _WRITE_SOURCE_$$localPos$1@0 #x00000017)
+(= _WRITE_SOURCE_$$localPos$1@0 #x00000018)))
+(=> (not _READ_HAS_OCCURRED_$$localPos$1@0) (= _READ_SOURCE_$$localPos$1@0 #x00000000))
+(=> (not _WRITE_HAS_OCCURRED_$$localPos$1@0) (= _WRITE_SOURCE_$$localPos$1@0 #x00000000))
+(=> _READ_HAS_OCCURRED_$$newVelocity$1 false)
+(=> _WRITE_HAS_OCCURRED_$$newVelocity$1 (or
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000d)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000e)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000f)
+(= _WRITE_SOURCE_$$newVelocity$1 #x00000010)))
+(=> (not _READ_HAS_OCCURRED_$$newVelocity$1) (= _READ_SOURCE_$$newVelocity$1 #x00000000))
+(=> (not _WRITE_HAS_OCCURRED_$$newVelocity$1) (= _WRITE_SOURCE_$$newVelocity$1 #x00000000))
+(=> _READ_HAS_OCCURRED_$$newPosition$1 false)
+(=> _WRITE_HAS_OCCURRED_$$newPosition$1 (or
+(= _WRITE_SOURCE_$$newPosition$1 #x00000009)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000a)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000b)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000c)))
+(=> (not _READ_HAS_OCCURRED_$$newPosition$1) (= _READ_SOURCE_$$newPosition$1 #x00000000))
+(=> (not _WRITE_HAS_OCCURRED_$$newPosition$1) (= _WRITE_SOURCE_$$newPosition$1 #x00000000))
+(=> p0$1@1 _P$1)
+(=> p0$2@1 _P$2)
+(= v7$1@1 (ite p0$1@1 (bvult $i.0$1@1 (bvudiv $numBodies$1 v2$1@0)) v7$1@0))
+(= v7$2@1 (ite p0$2@1 (bvult $i.0$2@1 (bvudiv $numBodies$2 v2$2@0)) v7$2@0))
+(= p1$1@1 (ite p0$1@1 v7$1@1 false))
+(= p1$2@1 (ite p0$2@1 v7$2@1 false))
+(= p0$1@2 (ite p0$1@1 v7$1@1 p0$1@1))
+(= p0$2@2 (ite p0$2@1 v7$2@1 p0$2@1))) (and
+(or %lbl%@22153 (=> (= (ControlFlow 0 5925) (- 0 22153)) (=> p1$1@1 true)))
+(=> (=> p1$1@1 true) (=> (and
+(= v8$1@1 (ite p1$1@1 _HAVOC_bv32$1@5 v8$1@0))
+(= v8$2@1 (ite p1$2@1 _HAVOC_bv32$2@5 v8$2@0))
+(= (ControlFlow 0 5925) 5919)) inline$_LOG_READ_$$pos$4$Entry_correct))))))))))
+(let (($entry$4_correct (=> (and %lbl%+5843 true) (=> (= call1006formal@_offset$2@0 (bvadd (bvmul v1$2@0 #x00000004) #x00000003)) (and
+(or %lbl%@19579 (=> (= (ControlFlow 0 5843) (- 0 19579)) (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$pos$1
+(= _WRITE_OFFSET_$$pos$1 call1006formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$pos$1
+(= _WRITE_OFFSET_$$pos$1 call1006formal@_offset$2@0))) (=> (and
+(= $acc.0$1@0 (ite _P$1 #x00000000000000000000000000000000 $acc.0$1))
+(= $acc.0$2@0 (ite _P$2 #x00000000000000000000000000000000 $acc.0$2))
+(= $i.0$1@0 (ite _P$1 #x00000000 $i.0$1))
+(= $i.0$2@0 (ite _P$2 #x00000000 $i.0$2))
+(= p0$1@0 (ite _P$1 true false))
+(= p0$2@0 (ite _P$2 true false))) (and
+(or %lbl%@19676 (=> (= (ControlFlow 0 5843) (- 0 19676)) (=> _b10 (=> _WRITE_HAS_OCCURRED_$$localPos$1 (or
+(= _WRITE_OFFSET_$$localPos$1 (bvmul local_id_x$1 #x00000004))
+(= _WRITE_OFFSET_$$localPos$1 (bvadd (bvmul local_id_x$1 #x00000004) #x00000001))
+(= _WRITE_OFFSET_$$localPos$1 (bvadd (bvmul local_id_x$1 #x00000004) #x00000002))
+(= _WRITE_OFFSET_$$localPos$1 (bvadd (bvmul local_id_x$1 #x00000004) #x00000003)))))))
+(=> (=> _b10 (=> _WRITE_HAS_OCCURRED_$$localPos$1 (or
+(= _WRITE_OFFSET_$$localPos$1 (bvmul local_id_x$1 #x00000004))
+(= _WRITE_OFFSET_$$localPos$1 (bvadd (bvmul local_id_x$1 #x00000004) #x00000001))
+(= _WRITE_OFFSET_$$localPos$1 (bvadd (bvmul local_id_x$1 #x00000004) #x00000002))
+(= _WRITE_OFFSET_$$localPos$1 (bvadd (bvmul local_id_x$1 #x00000004) #x00000003))))) (and
+(or %lbl%@19742 (=> (= (ControlFlow 0 5843) (- 0 19742)) (=> _b9 (=> _READ_HAS_OCCURRED_$$localPos$1 (or
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvmul #x00000000 #x00000004)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000001)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000002)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003))))))))
+(=> (=> _b9 (=> _READ_HAS_OCCURRED_$$localPos$1 (or
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvmul #x00000000 #x00000004)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000001)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000002)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003)))
+(= (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) _READ_OFFSET_$$localPos$1) (bvand (bvsub (bvmul #x00000001 #x00000004) #x00000001) (bvadd (bvmul #x00000000 #x00000004) #x00000003)))))) (and
+(or %lbl%@19944 (=> (= (ControlFlow 0 5843) (- 0 19944)) (=> _b8 (not _WRITE_HAS_OCCURRED_$$localPos$1))))
+(=> (=> _b8 (not _WRITE_HAS_OCCURRED_$$localPos$1)) (and
+(or %lbl%@19952 (=> (= (ControlFlow 0 5843) (- 0 19952)) (=> _b7 (not _READ_HAS_OCCURRED_$$localPos$1))))
+(=> (=> _b7 (not _READ_HAS_OCCURRED_$$localPos$1)) (and
+(or %lbl%@19960 (=> (= (ControlFlow 0 5843) (- 0 19960)) (=> _b6 (=> _READ_HAS_OCCURRED_$$pos$1@3 (or
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@3) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004)))
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@3) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvadd (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004) #x00000001)))
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@3) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvadd (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004) #x00000002)))
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@3) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvadd (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004) #x00000003))))))))
+(=> (=> _b6 (=> _READ_HAS_OCCURRED_$$pos$1@3 (or
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@3) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004)))
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@3) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvadd (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004) #x00000001)))
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@3) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvadd (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004) #x00000002)))
+(= (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) _READ_OFFSET_$$pos$1@3) (bvand (bvsub (bvmul (bvmul #x00000001 group_size_x) #x00000004) #x00000001) (bvadd (bvmul (bvadd (bvmul #x00000000 group_size_x) local_id_x$1) #x00000004) #x00000003)))))) (and
+(or %lbl%@20181 (=> (= (ControlFlow 0 5843) (- 0 20181)) (=> _b5 (not _READ_HAS_OCCURRED_$$pos$1@3))))
+(=> (=> _b5 (not _READ_HAS_OCCURRED_$$pos$1@3)) (and
+(or %lbl%@20188 (=> (= (ControlFlow 0 5843) (- 0 20188)) (=> _b4 (=> (and
+p0$1@0
+p0$2@0) (= $j.0$1 $j.0$2)))))
+(=> (=> _b4 (=> (and
+p0$1@0
+p0$2@0) (= $j.0$1 $j.0$2))) (and
+(or %lbl%@20204 (=> (= (ControlFlow 0 5843) (- 0 20204)) (=> _b3 (=> (and
+p0$1@0
+p0$2@0) (= $acc.1$1 $acc.1$2)))))
+(=> (=> _b3 (=> (and
+p0$1@0
+p0$2@0) (= $acc.1$1 $acc.1$2))) (and
+(or %lbl%@20220 (=> (= (ControlFlow 0 5843) (- 0 20220)) (=> _b2 (=> (and
+p0$1@0
+p0$2@0) (= $i.0$1@0 $i.0$2@0)))))
+(=> (=> _b2 (=> (and
+p0$1@0
+p0$2@0) (= $i.0$1@0 $i.0$2@0))) (and
+(or %lbl%@20234 (=> (= (ControlFlow 0 5843) (- 0 20234)) (=> _b1 (=> (and
+p0$1@0
+p0$2@0) (= $acc.0$1@0 $acc.0$2@0)))))
+(=> (=> _b1 (=> (and
+p0$1@0
+p0$2@0) (= $acc.0$1@0 $acc.0$2@0))) (and
+(or %lbl%@20248 (=> (= (ControlFlow 0 5843) (- 0 20248)) (=> _b0 (= p0$1@0 p0$2@0))))
+(=> (=> _b0 (= p0$1@0 p0$2@0)) (and
+(or %lbl%@20256 (=> (= (ControlFlow 0 5843) (- 0 20256)) (=> _READ_HAS_OCCURRED_$$vel$1 (or
+(= _READ_SOURCE_$$vel$1 #x00000005)
+(= _READ_SOURCE_$$vel$1 #x00000006)
+(= _READ_SOURCE_$$vel$1 #x00000007)
+(= _READ_SOURCE_$$vel$1 #x00000008)))))
+(=> (=> _READ_HAS_OCCURRED_$$vel$1 (or
+(= _READ_SOURCE_$$vel$1 #x00000005)
+(= _READ_SOURCE_$$vel$1 #x00000006)
+(= _READ_SOURCE_$$vel$1 #x00000007)
+(= _READ_SOURCE_$$vel$1 #x00000008))) (and
+(or %lbl%@20290 (=> (= (ControlFlow 0 5843) (- 0 20290)) (=> _WRITE_HAS_OCCURRED_$$vel$1 false)))
+(=> (=> _WRITE_HAS_OCCURRED_$$vel$1 false) (and
+(or %lbl%@20296 (=> (= (ControlFlow 0 5843) (- 0 20296)) (=> (not _READ_HAS_OCCURRED_$$vel$1) (= _READ_SOURCE_$$vel$1 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$vel$1) (= _READ_SOURCE_$$vel$1 #x00000000)) (and
+(or %lbl%@20308 (=> (= (ControlFlow 0 5843) (- 0 20308)) (=> (not _WRITE_HAS_OCCURRED_$$vel$1) (= _WRITE_SOURCE_$$vel$1 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$vel$1) (= _WRITE_SOURCE_$$vel$1 #x00000000)) (and
+(or %lbl%@20320 (=> (= (ControlFlow 0 5843) (- 0 20320)) (=> _READ_HAS_OCCURRED_$$pos$1@3 (or
+(= _READ_SOURCE_$$pos$1@3 #x00000001)
+(= _READ_SOURCE_$$pos$1@3 #x00000002)
+(= _READ_SOURCE_$$pos$1@3 #x00000003)
+(= _READ_SOURCE_$$pos$1@3 #x00000004)
+(= _READ_SOURCE_$$pos$1@3 #x00000011)
+(= _READ_SOURCE_$$pos$1@3 #x00000012)
+(= _READ_SOURCE_$$pos$1@3 #x00000013)
+(= _READ_SOURCE_$$pos$1@3 #x00000014)))))
+(=> (=> _READ_HAS_OCCURRED_$$pos$1@3 (or
+(= _READ_SOURCE_$$pos$1@3 #x00000001)
+(= _READ_SOURCE_$$pos$1@3 #x00000002)
+(= _READ_SOURCE_$$pos$1@3 #x00000003)
+(= _READ_SOURCE_$$pos$1@3 #x00000004)
+(= _READ_SOURCE_$$pos$1@3 #x00000011)
+(= _READ_SOURCE_$$pos$1@3 #x00000012)
+(= _READ_SOURCE_$$pos$1@3 #x00000013)
+(= _READ_SOURCE_$$pos$1@3 #x00000014))) (and
+(or %lbl%@20377 (=> (= (ControlFlow 0 5843) (- 0 20377)) (=> _WRITE_HAS_OCCURRED_$$pos$1 false)))
+(=> (=> _WRITE_HAS_OCCURRED_$$pos$1 false) (and
+(or %lbl%@20383 (=> (= (ControlFlow 0 5843) (- 0 20383)) (=> (not _READ_HAS_OCCURRED_$$pos$1@3) (= _READ_SOURCE_$$pos$1@3 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$pos$1@3) (= _READ_SOURCE_$$pos$1@3 #x00000000)) (and
+(or %lbl%@20393 (=> (= (ControlFlow 0 5843) (- 0 20393)) (=> (not _WRITE_HAS_OCCURRED_$$pos$1) (= _WRITE_SOURCE_$$pos$1 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$pos$1) (= _WRITE_SOURCE_$$pos$1 #x00000000)) (and
+(or %lbl%@20405 (=> (= (ControlFlow 0 5843) (- 0 20405)) (=> _READ_HAS_OCCURRED_$$localPos$1 (or
+(= _READ_SOURCE_$$localPos$1 #x00000019)
+(= _READ_SOURCE_$$localPos$1 #x0000001a)
+(= _READ_SOURCE_$$localPos$1 #x0000001b)
+(= _READ_SOURCE_$$localPos$1 #x0000001c)
+(= _READ_SOURCE_$$localPos$1 #x0000001d)))))
+(=> (=> _READ_HAS_OCCURRED_$$localPos$1 (or
+(= _READ_SOURCE_$$localPos$1 #x00000019)
+(= _READ_SOURCE_$$localPos$1 #x0000001a)
+(= _READ_SOURCE_$$localPos$1 #x0000001b)
+(= _READ_SOURCE_$$localPos$1 #x0000001c)
+(= _READ_SOURCE_$$localPos$1 #x0000001d))) (and
+(or %lbl%@20447 (=> (= (ControlFlow 0 5843) (- 0 20447)) (=> _WRITE_HAS_OCCURRED_$$localPos$1 (or
+(= _WRITE_SOURCE_$$localPos$1 #x00000015)
+(= _WRITE_SOURCE_$$localPos$1 #x00000016)
+(= _WRITE_SOURCE_$$localPos$1 #x00000017)
+(= _WRITE_SOURCE_$$localPos$1 #x00000018)))))
+(=> (=> _WRITE_HAS_OCCURRED_$$localPos$1 (or
+(= _WRITE_SOURCE_$$localPos$1 #x00000015)
+(= _WRITE_SOURCE_$$localPos$1 #x00000016)
+(= _WRITE_SOURCE_$$localPos$1 #x00000017)
+(= _WRITE_SOURCE_$$localPos$1 #x00000018))) (and
+(or %lbl%@20481 (=> (= (ControlFlow 0 5843) (- 0 20481)) (=> (not _READ_HAS_OCCURRED_$$localPos$1) (= _READ_SOURCE_$$localPos$1 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$localPos$1) (= _READ_SOURCE_$$localPos$1 #x00000000)) (and
+(or %lbl%@20493 (=> (= (ControlFlow 0 5843) (- 0 20493)) (=> (not _WRITE_HAS_OCCURRED_$$localPos$1) (= _WRITE_SOURCE_$$localPos$1 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$localPos$1) (= _WRITE_SOURCE_$$localPos$1 #x00000000)) (and
+(or %lbl%@20505 (=> (= (ControlFlow 0 5843) (- 0 20505)) (=> _READ_HAS_OCCURRED_$$newVelocity$1 false)))
+(=> (=> _READ_HAS_OCCURRED_$$newVelocity$1 false) (and
+(or %lbl%@20511 (=> (= (ControlFlow 0 5843) (- 0 20511)) (=> _WRITE_HAS_OCCURRED_$$newVelocity$1 (or
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000d)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000e)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000f)
+(= _WRITE_SOURCE_$$newVelocity$1 #x00000010)))))
+(=> (=> _WRITE_HAS_OCCURRED_$$newVelocity$1 (or
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000d)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000e)
+(= _WRITE_SOURCE_$$newVelocity$1 #x0000000f)
+(= _WRITE_SOURCE_$$newVelocity$1 #x00000010))) (and
+(or %lbl%@20545 (=> (= (ControlFlow 0 5843) (- 0 20545)) (=> (not _READ_HAS_OCCURRED_$$newVelocity$1) (= _READ_SOURCE_$$newVelocity$1 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$newVelocity$1) (= _READ_SOURCE_$$newVelocity$1 #x00000000)) (and
+(or %lbl%@20557 (=> (= (ControlFlow 0 5843) (- 0 20557)) (=> (not _WRITE_HAS_OCCURRED_$$newVelocity$1) (= _WRITE_SOURCE_$$newVelocity$1 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$newVelocity$1) (= _WRITE_SOURCE_$$newVelocity$1 #x00000000)) (and
+(or %lbl%@20569 (=> (= (ControlFlow 0 5843) (- 0 20569)) (=> _READ_HAS_OCCURRED_$$newPosition$1 false)))
+(=> (=> _READ_HAS_OCCURRED_$$newPosition$1 false) (and
+(or %lbl%@20575 (=> (= (ControlFlow 0 5843) (- 0 20575)) (=> _WRITE_HAS_OCCURRED_$$newPosition$1 (or
+(= _WRITE_SOURCE_$$newPosition$1 #x00000009)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000a)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000b)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000c)))))
+(=> (=> _WRITE_HAS_OCCURRED_$$newPosition$1 (or
+(= _WRITE_SOURCE_$$newPosition$1 #x00000009)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000a)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000b)
+(= _WRITE_SOURCE_$$newPosition$1 #x0000000c))) (and
+(or %lbl%@20609 (=> (= (ControlFlow 0 5843) (- 0 20609)) (=> (not _READ_HAS_OCCURRED_$$newPosition$1) (= _READ_SOURCE_$$newPosition$1 #x00000000))))
+(=> (=> (not _READ_HAS_OCCURRED_$$newPosition$1) (= _READ_SOURCE_$$newPosition$1 #x00000000)) (and
+(or %lbl%@20621 (=> (= (ControlFlow 0 5843) (- 0 20621)) (=> (not _WRITE_HAS_OCCURRED_$$newPosition$1) (= _WRITE_SOURCE_$$newPosition$1 #x00000000))))
+(=> (=> (not _WRITE_HAS_OCCURRED_$$newPosition$1) (= _WRITE_SOURCE_$$newPosition$1 #x00000000)) (and
+(or %lbl%@20633 (=> (= (ControlFlow 0 5843) (- 0 20633)) (=> p0$1@0 _P$1)))
+(=> (=> p0$1@0 _P$1) (and
+(or %lbl%@20638 (=> (= (ControlFlow 0 5843) (- 0 20638)) (=> p0$2@0 _P$2)))
+(=> (=> p0$2@0 _P$2) (=> (= (ControlFlow 0 5843) 5925) $for.cond_correct))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))
+(let ((inline$_LOG_READ_$$pos$3$_LOG_READ_correct (=> (and %lbl%+5837 true) (=> (and
+(= _READ_HAS_OCCURRED_$$pos$1@3 (ite (and
+_P$1
+inline$_LOG_READ_$$pos$3$track@0) true _READ_HAS_OCCURRED_$$pos$1@2))
+(= _READ_OFFSET_$$pos$1@3 (ite (and
+_P$1
+inline$_LOG_READ_$$pos$3$track@0) inline$_LOG_READ_$$pos$3$_offset$1@0 _READ_OFFSET_$$pos$1@2))
+(= _READ_SOURCE_$$pos$1@3 (ite (and
+_P$1
+inline$_LOG_READ_$$pos$3$track@0) #x00000004 _READ_SOURCE_$$pos$1@2))
+(= (ControlFlow 0 5837) 5843)) $entry$4_correct))))
+(let ((inline$_LOG_READ_$$pos$3$Entry_correct (=> (and %lbl%+5835 true) (=> (and
+(= inline$_LOG_READ_$$pos$3$_offset$1@0 (bvadd (bvmul v1$1@0 #x00000004) #x00000003))
+(= (ControlFlow 0 5835) 5837)) inline$_LOG_READ_$$pos$3$_LOG_READ_correct))))
+(let (($entry$3_correct (=> (and %lbl%+5841 true) (=> (= call953formal@_offset$2@0 (bvadd (bvmul v1$2@0 #x00000004) #x00000002)) (and
+(or %lbl%@19437 (=> (= (ControlFlow 0 5841) (- 0 19437)) (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$pos$1
+(= _WRITE_OFFSET_$$pos$1 call953formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$pos$1
+(= _WRITE_OFFSET_$$pos$1 call953formal@_offset$2@0))) (and
+(or %lbl%@19451 (=> (= (ControlFlow 0 5841) (- 0 19451)) (=> _P$1 true)))
+(=> (=> _P$1 true) (=> (and
+(= v6$1@0 (ite _P$1 _HAVOC_bv32$1@3 v6$1))
+(= v6$2@0 (ite _P$2 _HAVOC_bv32$2@3 v6$2))
+(= (ControlFlow 0 5841) 5835)) inline$_LOG_READ_$$pos$3$Entry_correct)))))))))
+(let ((inline$_LOG_READ_$$pos$2$_LOG_READ_correct (=> (and %lbl%+5755 true) (=> (and
+(= _READ_HAS_OCCURRED_$$pos$1@2 (ite (and
+_P$1
+inline$_LOG_READ_$$pos$2$track@0) true _READ_HAS_OCCURRED_$$pos$1@1))
+(= _READ_OFFSET_$$pos$1@2 (ite (and
+_P$1
+inline$_LOG_READ_$$pos$2$track@0) inline$_LOG_READ_$$pos$2$_offset$1@0 _READ_OFFSET_$$pos$1@1))
+(= _READ_SOURCE_$$pos$1@2 (ite (and
+_P$1
+inline$_LOG_READ_$$pos$2$track@0) #x00000003 _READ_SOURCE_$$pos$1@1))
+(= (ControlFlow 0 5755) 5841)) $entry$3_correct))))
+(let ((inline$_LOG_READ_$$pos$2$Entry_correct (=> (and %lbl%+5753 true) (=> (and
+(= inline$_LOG_READ_$$pos$2$_offset$1@0 (bvadd (bvmul v1$1@0 #x00000004) #x00000002))
+(= (ControlFlow 0 5753) 5755)) inline$_LOG_READ_$$pos$2$_LOG_READ_correct))))
+(let (($entry$2_correct (=> (and %lbl%+5759 true) (=> (= call900formal@_offset$2@0 (bvadd (bvmul v1$2@0 #x00000004) #x00000001)) (and
+(or %lbl%@19295 (=> (= (ControlFlow 0 5759) (- 0 19295)) (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$pos$1
+(= _WRITE_OFFSET_$$pos$1 call900formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$pos$1
+(= _WRITE_OFFSET_$$pos$1 call900formal@_offset$2@0))) (and
+(or %lbl%@19309 (=> (= (ControlFlow 0 5759) (- 0 19309)) (=> _P$1 true)))
+(=> (=> _P$1 true) (=> (and
+(= v5$1@0 (ite _P$1 _HAVOC_bv32$1@2 v5$1))
+(= v5$2@0 (ite _P$2 _HAVOC_bv32$2@2 v5$2))
+(= (ControlFlow 0 5759) 5753)) inline$_LOG_READ_$$pos$2$Entry_correct)))))))))
+(let ((inline$_LOG_READ_$$pos$1$_LOG_READ_correct (=> (and %lbl%+5673 true) (=> (and
+(= _READ_HAS_OCCURRED_$$pos$1@1 (ite (and
+_P$1
+inline$_LOG_READ_$$pos$1$track@0) true _READ_HAS_OCCURRED_$$pos$1@0))
+(= _READ_OFFSET_$$pos$1@1 (ite (and
+_P$1
+inline$_LOG_READ_$$pos$1$track@0) inline$_LOG_READ_$$pos$1$_offset$1@0 _READ_OFFSET_$$pos$1@0))
+(= _READ_SOURCE_$$pos$1@1 (ite (and
+_P$1
+inline$_LOG_READ_$$pos$1$track@0) #x00000002 _READ_SOURCE_$$pos$1@0))
+(= (ControlFlow 0 5673) 5759)) $entry$2_correct))))
+(let ((inline$_LOG_READ_$$pos$1$Entry_correct (=> (and %lbl%+5671 true) (=> (and
+(= inline$_LOG_READ_$$pos$1$_offset$1@0 (bvadd (bvmul v1$1@0 #x00000004) #x00000001))
+(= (ControlFlow 0 5671) 5673)) inline$_LOG_READ_$$pos$1$_LOG_READ_correct))))
+(let (($entry$1_correct (=> (and %lbl%+5677 true) (=> (= call847formal@_offset$2@0 (bvmul v1$2@0 #x00000004)) (and
+(or %lbl%@19153 (=> (= (ControlFlow 0 5677) (- 0 19153)) (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$pos$1
+(= _WRITE_OFFSET_$$pos$1 call847formal@_offset$2@0)))))
+(=> (not (and
+_P$2
+_WRITE_HAS_OCCURRED_$$pos$1
+(= _WRITE_OFFSET_$$pos$1 call847formal@_offset$2@0))) (and
+(or %lbl%@19167 (=> (= (ControlFlow 0 5677) (- 0 19167)) (=> _P$1 true)))
+(=> (=> _P$1 true) (=> (and
+(= v4$1@0 (ite _P$1 _HAVOC_bv32$1@1 v4$1))
+(= v4$2@0 (ite _P$2 _HAVOC_bv32$2@1 v4$2))
+(= (ControlFlow 0 5677) 5671)) inline$_LOG_READ_$$pos$1$Entry_correct)))))))))
+(let ((inline$_LOG_READ_$$pos$0$_LOG_READ_correct (=> (and %lbl%+5591 true) (=> (and
+(= _READ_HAS_OCCURRED_$$pos$1@0 (ite (and
+_P$1
+inline$_LOG_READ_$$pos$0$track@0) true _READ_HAS_OCCURRED_$$pos$1))
+(= _READ_OFFSET_$$pos$1@0 (ite (and
+_P$1
+inline$_LOG_READ_$$pos$0$track@0) inline$_LOG_READ_$$pos$0$_offset$1@0 _READ_OFFSET_$$pos$1))
+(= _READ_SOURCE_$$pos$1@0 (ite (and
+_P$1
+inline$_LOG_READ_$$pos$0$track@0) #x00000001 _READ_SOURCE_$$pos$1))
+(= (ControlFlow 0 5591) 5677)) $entry$1_correct))))
+(let ((inline$_LOG_READ_$$pos$0$Entry_correct (=> (and %lbl%+5589 true) (=> (and
+(= inline$_LOG_READ_$$pos$0$_offset$1@0 (bvmul v1$1@0 #x00000004))
+(= (ControlFlow 0 5589) 5591)) inline$_LOG_READ_$$pos$0$_LOG_READ_correct))))
+(let (($entry_correct (=> (and %lbl%+5595 true) (=> (and
+(= v0$1@0 (ite _P$1 local_id_x$1 v0$1))
+(= v0$2@0 (ite _P$2 local_id_x$2 v0$2))) (=> (and
+(= v1$1@0 (ite _P$1 (bvadd (bvmul group_size_x group_id_x$1) local_id_x$1) v1$1))
+(= v1$2@0 (ite _P$2 (bvadd (bvmul group_size_x group_id_x$2) local_id_x$2) v1$2))
+(= v2$1@0 (ite _P$1 group_size_x v2$1))
+(= v2$2@0 (ite _P$2 group_size_x v2$2))) (and
+(or %lbl%@19027 (=> (= (ControlFlow 0 5595) (- 0 19027)) (=> _P$1 true)))
+(=> (=> _P$1 true) (=> (and
+(= v3$1@0 (ite _P$1 _HAVOC_bv32$1@0 v3$1))
+(= v3$2@0 (ite _P$2 _HAVOC_bv32$2@0 v3$2))
+(= (ControlFlow 0 5595) 5589)) inline$_LOG_READ_$$pos$0$Entry_correct))))))))
+(let ((PreconditionGeneratedEntry_correct (=> (and %lbl%+17409 true) (=> (and
+(not _READ_HAS_OCCURRED_$$pos$1)
+(not _WRITE_HAS_OCCURRED_$$pos$1)
+(= _READ_SOURCE_$$pos$1 #x00000000)
+(= _WRITE_SOURCE_$$pos$1 #x00000000)
+(not _READ_HAS_OCCURRED_$$vel$1)
+(not _WRITE_HAS_OCCURRED_$$vel$1)
+(= _READ_SOURCE_$$vel$1 #x00000000)
+(= _WRITE_SOURCE_$$vel$1 #x00000000)) (=> (and
+(not _READ_HAS_OCCURRED_$$newPosition$1)
+(not _WRITE_HAS_OCCURRED_$$newPosition$1)
+(= _READ_SOURCE_$$newPosition$1 #x00000000)
+(= _WRITE_SOURCE_$$newPosition$1 #x00000000)
+(not _READ_HAS_OCCURRED_$$newVelocity$1)
+(not _WRITE_HAS_OCCURRED_$$newVelocity$1)
+(= _READ_SOURCE_$$newVelocity$1 #x00000000)
+(= _WRITE_SOURCE_$$newVelocity$1 #x00000000)
+(not _READ_HAS_OCCURRED_$$localPos$1)
+(not _WRITE_HAS_OCCURRED_$$localPos$1)
+(= _READ_SOURCE_$$localPos$1 #x00000000)
+(= _WRITE_SOURCE_$$localPos$1 #x00000000)
+(bvsgt group_size_x #x00000000)
+(bvsgt num_groups_x #x00000000)
+(bvsge group_id_x$1 #x00000000)
+(bvsge group_id_x$2 #x00000000)) (=> (and
+(bvslt group_id_x$1 num_groups_x)
+(bvslt group_id_x$2 num_groups_x)
+(bvsge local_id_x$1 #x00000000)
+(bvsge local_id_x$2 #x00000000)
+(bvslt local_id_x$1 group_size_x)
+(bvslt local_id_x$2 group_size_x)
+(bvsgt group_size_y #x00000000)
+(bvsgt num_groups_y #x00000000)
+(bvsge group_id_y$1 #x00000000)
+(bvsge group_id_y$2 #x00000000)
+(bvslt group_id_y$1 num_groups_y)
+(bvslt group_id_y$2 num_groups_y)
+(bvsge local_id_y$1 #x00000000)
+(bvsge local_id_y$2 #x00000000)
+(bvslt local_id_y$1 group_size_y)
+(bvslt local_id_y$2 group_size_y)
+(bvsgt group_size_z #x00000000)
+(bvsgt num_groups_z #x00000000)
+(bvsge group_id_z$1 #x00000000)
+(bvsge group_id_z$2 #x00000000)
+(bvslt group_id_z$1 num_groups_z)
+(bvslt group_id_z$2 num_groups_z)
+(bvsge local_id_z$1 #x00000000)
+(bvsge local_id_z$2 #x00000000)
+(bvslt local_id_z$1 group_size_z)
+(bvslt local_id_z$2 group_size_z)
+(=> (and
+(= group_id_x$1 group_id_x$2)
+(= group_id_y$1 group_id_y$2)
+(= group_id_z$1 group_id_z$2)) (or
+(not (= local_id_x$1 local_id_x$2))
+(not (= local_id_y$1 local_id_y$2))
+(not (= local_id_z$1 local_id_z$2))))
+(= _P$1 _P$2)
+(= $numBodies$1 $numBodies$2)
+(= $deltaTime$1 $deltaTime$2)
+(= $epsSqr$1 $epsSqr$2)
+(= (ControlFlow 0 17409) 5595)) $entry_correct))))))
+PreconditionGeneratedEntry_correct)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))
+(push 1)
+;(set-info :boogie-vc-id $nbody_sim)
+(assert (not
+(=> (and
+true
+_b0
+_b1
+_b2
+_b3
+_b4
+_b5
+_b6
+_b7
+_b8
+_b9
+_b10
+_b11) $nbody_sim)
+))
+(check-sat)
+;(get-value ((ControlFlow 0 0)))
+;(get-value ((ControlFlow 0 17409)))
+;(get-value ((ControlFlow 0 5595)))
+;(get-value ((ControlFlow 0 5589)))
+;(get-value ((ControlFlow 0 5591)))
+;(get-value ((ControlFlow 0 5677)))
+;(get-value ((ControlFlow 0 5671)))
+;(get-value ((ControlFlow 0 5673)))
+;(get-value ((ControlFlow 0 5759)))
+;(get-value ((ControlFlow 0 5753)))
+;(get-value ((ControlFlow 0 5755)))
+;(get-value ((ControlFlow 0 5841)))
+;(get-value ((ControlFlow 0 5835)))
+;(get-value ((ControlFlow 0 5837)))
+;(get-value ((ControlFlow 0 5843)))
+(assert (not (= (ControlFlow 0 5843) (- 20181))))
+(check-sat)
+;(get-value ((ControlFlow 0 0)))
+;(get-value ((ControlFlow 0 17409)))
+;(get-value ((ControlFlow 0 5595)))
+;(get-value ((ControlFlow 0 5589)))
+;(get-value ((ControlFlow 0 5591)))
+;(get-value ((ControlFlow 0 5677)))
+;(get-value ((ControlFlow 0 5671)))
+;(get-value ((ControlFlow 0 5673)))
+;(get-value ((ControlFlow 0 5759)))
+;(get-value ((ControlFlow 0 5753)))
+;(get-value ((ControlFlow 0 5755)))
+;(get-value ((ControlFlow 0 5841)))
+;(get-value ((ControlFlow 0 5835)))
+;(get-value ((ControlFlow 0 5837)))
+;(get-value ((ControlFlow 0 5843)))
+(assert (not (= (ControlFlow 0 5843) (- 20188))))
+(check-sat)
+;(get-value ((ControlFlow 0 0)))
+;(get-value ((ControlFlow 0 17409)))
+;(get-value ((ControlFlow 0 5595)))
+;(get-value ((ControlFlow 0 5589)))
+;(get-value ((ControlFlow 0 5591)))
+;(get-value ((ControlFlow 0 5677)))
+;(get-value ((ControlFlow 0 5671)))
+;(get-value ((ControlFlow 0 5673)))
+;(get-value ((ControlFlow 0 5759)))
+;(get-value ((ControlFlow 0 5753)))
+;(get-value ((ControlFlow 0 5755)))
+;(get-value ((ControlFlow 0 5841)))
+;(get-value ((ControlFlow 0 5835)))
+;(get-value ((ControlFlow 0 5837)))
+;(get-value ((ControlFlow 0 5843)))
+(assert (not (= (ControlFlow 0 5843) (- 20204))))
+(check-sat)
+;(get-value ((ControlFlow 0 0)))
+;(get-value ((ControlFlow 0 17409)))
+;(get-value ((ControlFlow 0 5595)))
+;(get-value ((ControlFlow 0 5589)))
+;(get-value ((ControlFlow 0 5591)))
+;(get-value ((ControlFlow 0 5677)))
+;(get-value ((ControlFlow 0 5671)))
+;(get-value ((ControlFlow 0 5673)))
+;(get-value ((ControlFlow 0 5759)))
+;(get-value ((ControlFlow 0 5753)))
+;(get-value ((ControlFlow 0 5755)))
+;(get-value ((ControlFlow 0 5841)))
+;(get-value ((ControlFlow 0 5835)))
+;(get-value ((ControlFlow 0 5837)))
+;(get-value ((ControlFlow 0 5843)))
+;(get-value ((ControlFlow 0 5925)))
+;(get-value ((ControlFlow 0 5919)))
+;(get-value ((ControlFlow 0 5921)))
+;(get-value ((ControlFlow 0 6007)))
+;(get-value ((ControlFlow 0 6001)))
+;(get-value ((ControlFlow 0 6003)))
+;(get-value ((ControlFlow 0 6089)))
+;(get-value ((ControlFlow 0 6083)))
+;(get-value ((ControlFlow 0 6085)))
+;(get-value ((ControlFlow 0 6171)))
+;(get-value ((ControlFlow 0 6165)))
+;(get-value ((ControlFlow 0 6167)))
+;(get-value ((ControlFlow 0 6253)))
+;(get-value ((ControlFlow 0 6247)))
+;(get-value ((ControlFlow 0 6249)))
+;(get-value ((ControlFlow 0 6335)))
+;(get-value ((ControlFlow 0 6329)))
+;(get-value ((ControlFlow 0 6331)))
+;(get-value ((ControlFlow 0 6417)))
+;(get-value ((ControlFlow 0 6411)))
+;(get-value ((ControlFlow 0 6413)))
+;(get-value ((ControlFlow 0 6499)))
+;(get-value ((ControlFlow 0 6493)))
+;(get-value ((ControlFlow 0 6495)))
+;(get-value ((ControlFlow 0 7034)))
+;(get-value ((ControlFlow 0 7002)))
+;(get-value ((ControlFlow 0 7006)))
+;(get-value ((ControlFlow 0 7028)))
+;(get-value ((ControlFlow 0 7026)))
+;(get-value ((ControlFlow 0 7016)))
+;(get-value ((ControlFlow 0 7018)))
+;(get-value ((ControlFlow 0 7020)))
+;(get-value ((ControlFlow 0 7032)))
+;(get-value ((ControlFlow 0 7036)))
+;(get-value ((ControlFlow 0 7118)))
+;(get-value ((ControlFlow 0 7112)))
+;(get-value ((ControlFlow 0 7114)))
+;(get-value ((ControlFlow 0 7200)))
+;(get-value ((ControlFlow 0 7194)))
+;(get-value ((ControlFlow 0 7196)))
+;(get-value ((ControlFlow 0 7282)))
+;(get-value ((ControlFlow 0 7276)))
+;(get-value ((ControlFlow 0 7278)))
+;(get-value ((ControlFlow 0 7364)))
+;(get-value ((ControlFlow 0 7358)))
+;(get-value ((ControlFlow 0 7360)))
+;(get-value ((ControlFlow 0 7446)))
+;(get-value ((ControlFlow 0 7440)))
+;(get-value ((ControlFlow 0 7442)))
+;(get-value ((ControlFlow 0 7448)))
+;(get-value ((ControlFlow 0 7983)))
+;(get-value ((ControlFlow 0 7951)))
+;(get-value ((ControlFlow 0 7955)))
+;(get-value ((ControlFlow 0 7977)))
+;(get-value ((ControlFlow 0 7975)))
+;(get-value ((ControlFlow 0 7965)))
+;(get-value ((ControlFlow 0 7967)))
+;(get-value ((ControlFlow 0 7969)))
+;(get-value ((ControlFlow 0 7981)))
+;(get-value ((ControlFlow 0 7985)))
+;(get-value ((ControlFlow 0 8973)))
+(assert (not (= (ControlFlow 0 8973) (- 28090))))
+(check-sat)
+;(get-value ((ControlFlow 0 0)))
+;(get-value ((ControlFlow 0 17409)))
+;(get-value ((ControlFlow 0 5595)))
+;(get-value ((ControlFlow 0 5589)))
+;(get-value ((ControlFlow 0 5591)))
+;(get-value ((ControlFlow 0 5677)))
+;(get-value ((ControlFlow 0 5671)))
+;(get-value ((ControlFlow 0 5673)))
+;(get-value ((ControlFlow 0 5759)))
+;(get-value ((ControlFlow 0 5753)))
+;(get-value ((ControlFlow 0 5755)))
+;(get-value ((ControlFlow 0 5841)))
+;(get-value ((ControlFlow 0 5835)))
+;(get-value ((ControlFlow 0 5837)))
+;(get-value ((ControlFlow 0 5843)))
+;(get-value ((ControlFlow 0 5925)))
+;(get-value ((ControlFlow 0 5919)))
+;(get-value ((ControlFlow 0 5921)))
+;(get-value ((ControlFlow 0 6007)))
+;(get-value ((ControlFlow 0 6001)))
+;(get-value ((ControlFlow 0 6003)))
+;(get-value ((ControlFlow 0 6089)))
+;(get-value ((ControlFlow 0 6083)))
+;(get-value ((ControlFlow 0 6085)))
+;(get-value ((ControlFlow 0 6171)))
+;(get-value ((ControlFlow 0 6165)))
+;(get-value ((ControlFlow 0 6167)))
+;(get-value ((ControlFlow 0 6253)))
+;(get-value ((ControlFlow 0 6247)))
+;(get-value ((ControlFlow 0 6249)))
+;(get-value ((ControlFlow 0 6335)))
+;(get-value ((ControlFlow 0 6329)))
+;(get-value ((ControlFlow 0 6331)))
+;(get-value ((ControlFlow 0 6417)))
+;(get-value ((ControlFlow 0 6411)))
+;(get-value ((ControlFlow 0 6413)))
+;(get-value ((ControlFlow 0 6499)))
+;(get-value ((ControlFlow 0 6493)))
+;(get-value ((ControlFlow 0 6495)))
+;(get-value ((ControlFlow 0 7034)))
+;(get-value ((ControlFlow 0 7002)))
+;(get-value ((ControlFlow 0 7006)))
+;(get-value ((ControlFlow 0 7028)))
+;(get-value ((ControlFlow 0 7026)))
+;(get-value ((ControlFlow 0 7016)))
+;(get-value ((ControlFlow 0 7018)))
+;(get-value ((ControlFlow 0 7020)))
+;(get-value ((ControlFlow 0 7032)))
+;(get-value ((ControlFlow 0 7036)))
+;(get-value ((ControlFlow 0 7118)))
+;(get-value ((ControlFlow 0 7112)))
+;(get-value ((ControlFlow 0 7114)))
+;(get-value ((ControlFlow 0 7200)))
+;(get-value ((ControlFlow 0 7194)))
+;(get-value ((ControlFlow 0 7196)))
+;(get-value ((ControlFlow 0 7282)))
+;(get-value ((ControlFlow 0 7276)))
+;(get-value ((ControlFlow 0 7278)))
+;(get-value ((ControlFlow 0 7364)))
+;(get-value ((ControlFlow 0 7358)))
+;(get-value ((ControlFlow 0 7360)))
+;(get-value ((ControlFlow 0 7446)))
+;(get-value ((ControlFlow 0 7440)))
+;(get-value ((ControlFlow 0 7442)))
+;(get-value ((ControlFlow 0 7448)))
+;(get-value ((ControlFlow 0 7983)))
+;(get-value ((ControlFlow 0 7951)))
+;(get-value ((ControlFlow 0 7955)))
+;(get-value ((ControlFlow 0 7977)))
+;(get-value ((ControlFlow 0 7975)))
+;(get-value ((ControlFlow 0 7965)))
+;(get-value ((ControlFlow 0 7967)))
+;(get-value ((ControlFlow 0 7969)))
+;(get-value ((ControlFlow 0 7981)))
+;(get-value ((ControlFlow 0 7985)))
+;(get-value ((ControlFlow 0 8973)))
+(pop 1)
+(push 1)
+;(set-info :boogie-vc-id $nbody_sim)
+(assert (not
+(=> (and
+true
+_b0
+_b1
+_b2
+(not _b3)
+(not _b4)
+(not _b5)
+_b6
+_b7
+_b8
+_b9
+_b10
+_b11) $nbody_sim)
+))
+(check-sat)
+;(get-value ((ControlFlow 0 0)))
+;(get-value ((ControlFlow 0 17409)))
+;(get-value ((ControlFlow 0 5595)))
+;(get-value ((ControlFlow 0 5589)))
+;(get-value ((ControlFlow 0 5591)))
+;(get-value ((ControlFlow 0 5677)))
+;(get-value ((ControlFlow 0 5671)))
+;(get-value ((ControlFlow 0 5673)))
+;(get-value ((ControlFlow 0 5759)))
+;(get-value ((ControlFlow 0 5753)))
+;(get-value ((ControlFlow 0 5755)))
+;(get-value ((ControlFlow 0 5841)))
+;(get-value ((ControlFlow 0 5835)))
+;(get-value ((ControlFlow 0 5837)))
+;(get-value ((ControlFlow 0 5843)))
+;(get-value ((ControlFlow 0 5925)))
+;(get-value ((ControlFlow 0 5919)))
+;(get-value ((ControlFlow 0 5921)))
+;(get-value ((ControlFlow 0 6007)))
+;(get-value ((ControlFlow 0 6001)))
+;(get-value ((ControlFlow 0 6003)))
+;(get-value ((ControlFlow 0 6089)))
+;(get-value ((ControlFlow 0 6083)))
+;(get-value ((ControlFlow 0 6085)))
+;(get-value ((ControlFlow 0 6171)))
+;(get-value ((ControlFlow 0 6165)))
+;(get-value ((ControlFlow 0 6167)))
+;(get-value ((ControlFlow 0 6253)))
+;(get-value ((ControlFlow 0 6247)))
+;(get-value ((ControlFlow 0 6249)))
+;(get-value ((ControlFlow 0 6335)))
+;(get-value ((ControlFlow 0 6329)))
+;(get-value ((ControlFlow 0 6331)))
+;(get-value ((ControlFlow 0 6417)))
+;(get-value ((ControlFlow 0 6411)))
+;(get-value ((ControlFlow 0 6413)))
+;(get-value ((ControlFlow 0 6499)))
+;(get-value ((ControlFlow 0 6493)))
+;(get-value ((ControlFlow 0 6495)))
+;(get-value ((ControlFlow 0 7034)))
+;(get-value ((ControlFlow 0 7002)))
+;(get-value ((ControlFlow 0 7006)))
+;(get-value ((ControlFlow 0 7028)))
+;(get-value ((ControlFlow 0 7026)))
+;(get-value ((ControlFlow 0 7016)))
+;(get-value ((ControlFlow 0 7018)))
+;(get-value ((ControlFlow 0 7020)))
+;(get-value ((ControlFlow 0 7032)))
+;(get-value ((ControlFlow 0 7036)))
+;(get-value ((ControlFlow 0 7118)))
+;(get-value ((ControlFlow 0 7112)))
+;(get-value ((ControlFlow 0 7114)))
+;(get-value ((ControlFlow 0 7200)))
+;(get-value ((ControlFlow 0 7194)))
+;(get-value ((ControlFlow 0 7196)))
+;(get-value ((ControlFlow 0 7282)))
+;(get-value ((ControlFlow 0 7276)))
+;(get-value ((ControlFlow 0 7278)))
+;(get-value ((ControlFlow 0 7364)))
+;(get-value ((ControlFlow 0 7358)))
+;(get-value ((ControlFlow 0 7360)))
+;(get-value ((ControlFlow 0 7446)))
+;(get-value ((ControlFlow 0 7440)))
+;(get-value ((ControlFlow 0 7442)))
+;(get-value ((ControlFlow 0 7448)))
+;(get-value ((ControlFlow 0 7983)))
+;(get-value ((ControlFlow 0 7951)))
+;(get-value ((ControlFlow 0 7955)))
+;(get-value ((ControlFlow 0 7977)))
+;(get-value ((ControlFlow 0 7975)))
+;(get-value ((ControlFlow 0 7965)))
+;(get-value ((ControlFlow 0 7967)))
+;(get-value ((ControlFlow 0 7969)))
+;(get-value ((ControlFlow 0 7981)))
+;(get-value ((ControlFlow 0 7985)))
+;(get-value ((ControlFlow 0 8973)))
+(assert (not (= (ControlFlow 0 8973) (- 28118))))
+(check-sat)
+;(get-value ((ControlFlow 0 0)))
+;(get-value ((ControlFlow 0 17409)))
+;(get-value ((ControlFlow 0 5595)))
+;(get-value ((ControlFlow 0 5589)))
+;(get-value ((ControlFlow 0 5591)))
+;(get-value ((ControlFlow 0 5677)))
+;(get-value ((ControlFlow 0 5671)))
+;(get-value ((ControlFlow 0 5673)))
+;(get-value ((ControlFlow 0 5759)))
+;(get-value ((ControlFlow 0 5753)))
+;(get-value ((ControlFlow 0 5755)))
+;(get-value ((ControlFlow 0 5841)))
+;(get-value ((ControlFlow 0 5835)))
+;(get-value ((ControlFlow 0 5837)))
+;(get-value ((ControlFlow 0 5843)))
+;(get-value ((ControlFlow 0 5925)))
+;(get-value ((ControlFlow 0 5919)))
+;(get-value ((ControlFlow 0 5921)))
+;(get-value ((ControlFlow 0 6007)))
+;(get-value ((ControlFlow 0 6001)))
+;(get-value ((ControlFlow 0 6003)))
+;(get-value ((ControlFlow 0 6089)))
+;(get-value ((ControlFlow 0 6083)))
+;(get-value ((ControlFlow 0 6085)))
+;(get-value ((ControlFlow 0 6171)))
+;(get-value ((ControlFlow 0 6165)))
+;(get-value ((ControlFlow 0 6167)))
+;(get-value ((ControlFlow 0 6253)))
+;(get-value ((ControlFlow 0 6247)))
+;(get-value ((ControlFlow 0 6249)))
+;(get-value ((ControlFlow 0 6335)))
+;(get-value ((ControlFlow 0 6329)))
+;(get-value ((ControlFlow 0 6331)))
+;(get-value ((ControlFlow 0 6417)))
+;(get-value ((ControlFlow 0 6411)))
+;(get-value ((ControlFlow 0 6413)))
+;(get-value ((ControlFlow 0 6499)))
+;(get-value ((ControlFlow 0 6493)))
+;(get-value ((ControlFlow 0 6495)))
+;(get-value ((ControlFlow 0 7034)))
+;(get-value ((ControlFlow 0 7002)))
+;(get-value ((ControlFlow 0 7006)))
+;(get-value ((ControlFlow 0 7028)))
+;(get-value ((ControlFlow 0 7026)))
+;(get-value ((ControlFlow 0 7016)))
+;(get-value ((ControlFlow 0 7018)))
+;(get-value ((ControlFlow 0 7020)))
+;(get-value ((ControlFlow 0 7032)))
+;(get-value ((ControlFlow 0 7036)))
+;(get-value ((ControlFlow 0 7118)))
+;(get-value ((ControlFlow 0 7112)))
+;(get-value ((ControlFlow 0 7114)))
+;(get-value ((ControlFlow 0 7200)))
+;(get-value ((ControlFlow 0 7194)))
+;(get-value ((ControlFlow 0 7196)))
+;(get-value ((ControlFlow 0 7282)))
+;(get-value ((ControlFlow 0 7276)))
+;(get-value ((ControlFlow 0 7278)))
+;(get-value ((ControlFlow 0 7364)))
+;(get-value ((ControlFlow 0 7358)))
+;(get-value ((ControlFlow 0 7360)))
+;(get-value ((ControlFlow 0 7446)))
+;(get-value ((ControlFlow 0 7440)))
+;(get-value ((ControlFlow 0 7442)))
+;(get-value ((ControlFlow 0 7448)))
+;(get-value ((ControlFlow 0 7983)))
+;(get-value ((ControlFlow 0 7951)))
+;(get-value ((ControlFlow 0 7955)))
+;(get-value ((ControlFlow 0 7977)))
+;(get-value ((ControlFlow 0 7975)))
+;(get-value ((ControlFlow 0 7965)))
+;(get-value ((ControlFlow 0 7967)))
+;(get-value ((ControlFlow 0 7969)))
+;(get-value ((ControlFlow 0 7981)))
+;(get-value ((ControlFlow 0 7985)))
+;(get-value ((ControlFlow 0 8973)))
+(assert (not (= (ControlFlow 0 8973) (- 28132))))
+(check-sat)
+;(get-value ((ControlFlow 0 0)))
+;(get-value ((ControlFlow 0 17409)))
+;(get-value ((ControlFlow 0 5595)))
+;(get-value ((ControlFlow 0 5589)))
+;(get-value ((ControlFlow 0 5591)))
+;(get-value ((ControlFlow 0 5677)))
+;(get-value ((ControlFlow 0 5671)))
+;(get-value ((ControlFlow 0 5673)))
+;(get-value ((ControlFlow 0 5759)))
+;(get-value ((ControlFlow 0 5753)))
+;(get-value ((ControlFlow 0 5755)))
+;(get-value ((ControlFlow 0 5841)))
+;(get-value ((ControlFlow 0 5835)))
+;(get-value ((ControlFlow 0 5837)))
+;(get-value ((ControlFlow 0 5843)))
+(assert (not (= (ControlFlow 0 5843) (- 20234))))
+(check-sat)
+;(get-value ((ControlFlow 0 0)))
+;(get-value ((ControlFlow 0 17409)))
+;(get-value ((ControlFlow 0 5595)))
+;(get-value ((ControlFlow 0 5589)))
+;(get-value ((ControlFlow 0 5591)))
+;(get-value ((ControlFlow 0 5677)))
+;(get-value ((ControlFlow 0 5671)))
+;(get-value ((ControlFlow 0 5673)))
+;(get-value ((ControlFlow 0 5759)))
+;(get-value ((ControlFlow 0 5753)))
+;(get-value ((ControlFlow 0 5755)))
+;(get-value ((ControlFlow 0 5841)))
+;(get-value ((ControlFlow 0 5835)))
+;(get-value ((ControlFlow 0 5837)))
+;(get-value ((ControlFlow 0 5843)))
+(assert (not (= (ControlFlow 0 5843) (- 20220))))
+(check-sat)
+;(get-value ((ControlFlow 0 0)))
+;(get-value ((ControlFlow 0 17409)))
+;(get-value ((ControlFlow 0 5595)))
+;(get-value ((ControlFlow 0 5589)))
+;(get-value ((ControlFlow 0 5591)))
+;(get-value ((ControlFlow 0 5677)))
+;(get-value ((ControlFlow 0 5671)))
+;(get-value ((ControlFlow 0 5673)))
+;(get-value ((ControlFlow 0 5759)))
+;(get-value ((ControlFlow 0 5753)))
+;(get-value ((ControlFlow 0 5755)))
+;(get-value ((ControlFlow 0 5841)))
+;(get-value ((ControlFlow 0 5835)))
+;(get-value ((ControlFlow 0 5837)))
+;(get-value ((ControlFlow 0 5843)))
+(pop 1)
diff --git a/test/regress/regress2/bug674.smt2 b/test/regress/regress2/bug674.smt2
new file mode 100644
index 000000000..fccde862a
--- /dev/null
+++ b/test/regress/regress2/bug674.smt2
@@ -0,0 +1,28 @@
+; COMMAND-LINE: --quant-ind --incremental --rewrite-divk
+(set-logic ALL_SUPPORTED)
+(declare-datatypes ((Lst 0)) (((cons (head Int) (tail Lst)) (nil))))
+(define-fun-rec app ((l1 Lst) (l2 Lst)) Lst (ite (is-nil l1) l2 (cons (head l1) (app (tail l1) l2))))
+(define-fun-rec rev ((l Lst)) Lst (ite (is-nil l) nil (app (rev (tail l)) (cons (head l) nil))))
+; EXPECT: unsat
+(push 1)
+(assert (not (=> true (and (forall (($l1$0 Lst) ($l2$0 Lst) ($l3$0 Lst)) (= (app $l1$0 (app $l2$0 $l3$0)) (app (app $l1$0 $l2$0) $l3$0)))))))
+(check-sat)
+(pop 1)
+
+(assert (forall (($l1$0 Lst) ($l2$0 Lst) ($l3$0 Lst)) (= (app $l1$0 (app $l2$0 $l3$0)) (app (app $l1$0 $l2$0) $l3$0))))
+
+; EXPECT: unsat
+(push 1)
+(assert (not (=> true (and (forall (($l1$0 Lst) ($l2$0 Lst)) (= (rev (app $l1$0 $l2$0)) (app (rev $l2$0) (rev $l1$0))))))))
+(check-sat)
+(pop 1)
+
+(assert (forall (($l1$0 Lst) ($l2$0 Lst)) (= (rev (app $l1$0 $l2$0)) (app (rev $l2$0) (rev $l1$0)))))
+
+; EXPECT: unsat
+(push 1)
+(assert (not (=> true (and (forall (($l1$0 Lst)) (= (rev (rev $l1$0)) $l1$0))))))
+(check-sat)
+(pop 1)
+
+
diff --git a/test/regress/regress2/bug765.smt2 b/test/regress/regress2/bug765.smt2
new file mode 100644
index 000000000..2144de060
--- /dev/null
+++ b/test/regress/regress2/bug765.smt2
@@ -0,0 +1,30 @@
+; COMMAND-LINE: --incremental --fmf-fun-rlv --no-check-models --lang=smt2.5
+(set-logic ALL_SUPPORTED)
+
+(declare-datatypes () (
+ (Color (red) (white) (blue))
+) )
+
+(define-fun ColorToString ((c Color)) String (ite (is-red c) "red" (ite (is-white c) "white" "blue")) )
+(declare-fun ColorFromString (String) Color)
+(assert (forall ((c Color)) (= c (ColorFromString (ColorToString c)))))
+
+(declare-datatypes () (
+ (CP (cp (c1 Color) (c2 Color)))
+) )
+
+(define-fun-rec CPToString ((cp CP)) String (str.++ "cp(" (ColorToString (c1 cp)) "," (ColorToString (c2 cp)) ")"))
+(declare-fun CPFromString (String) CP)
+(assert (forall ((cp1 CP)) (= cp1 (CPFromString (CPToString cp1)))))
+
+(declare-fun cpx() CP)
+(assert (= cpx (CPFromString "cp(white,red)")))
+
+; EXPECT: sat
+(check-sat)
+
+(declare-fun cpy() CP)
+(assert (= cpy (CPFromString "cp(red,blue)")))
+
+; EXPECT: sat
+(check-sat)
diff --git a/test/regress/regress2/javafe.ast.StandardPrettyPrint.319_no_forall.smt2 b/test/regress/regress2/javafe.ast.StandardPrettyPrint.319_no_forall.smt2
new file mode 100644
index 000000000..4d47186df
--- /dev/null
+++ b/test/regress/regress2/javafe.ast.StandardPrettyPrint.319_no_forall.smt2
@@ -0,0 +1,941 @@
+(set-logic QF_UFLIA)
+(set-info :source | Simplify Theorem Prover Benchmark Suite |)
+(set-info :smt-lib-version 2.0)
+(set-info :category "industrial")
+(set-info :status unsat)
+(declare-fun true_term () Int)
+(declare-fun false_term () Int)
+(assert (= true_term 1))
+(assert (= false_term 0))
+(declare-fun S_select (Int Int) Int)
+(declare-fun S_store (Int Int Int) Int)
+(declare-fun PO_LT (Int Int) Int)
+(declare-fun T_java_lang_Object () Int)
+(assert (= (PO_LT T_java_lang_Object T_java_lang_Object) true_term))
+(declare-fun T_boolean () Int)
+(declare-fun T_char () Int)
+(declare-fun T_byte () Int)
+(declare-fun T_short () Int)
+(declare-fun T_int () Int)
+(declare-fun T_long () Int)
+(declare-fun T_float () Int)
+(declare-fun T_double () Int)
+(declare-fun asChild (Int Int) Int)
+(declare-fun classDown (Int Int) Int)
+(declare-fun T_java_lang_Cloneable () Int)
+(assert (= (PO_LT T_java_lang_Cloneable T_java_lang_Object) true_term))
+(declare-fun array (Int) Int)
+(declare-fun elemtype (Int) Int)
+(declare-fun is (Int Int) Int)
+(declare-fun cast (Int Int) Int)
+(assert true)
+(declare-fun intFirst () Int)
+(declare-fun intLast () Int)
+(declare-fun longFirst () Int)
+(declare-fun longLast () Int)
+(assert (< longFirst intFirst))
+(assert (< intFirst (- 1000000)))
+(assert (< 1000000 intLast))
+(assert (< intLast longLast))
+(declare-fun null () Int)
+(declare-fun typeof (Int) Int)
+(declare-fun asField (Int Int) Int)
+(declare-fun asElems (Int) Int)
+(declare-fun vAllocTime (Int) Int)
+(declare-fun isAllocated (Int Int) Int)
+(declare-fun fClosedTime (Int) Int)
+(declare-fun eClosedTime (Int) Int)
+(declare-fun asLockSet (Int) Int)
+(declare-fun max (Int) Int)
+(declare-fun lockLE (Int Int) Int)
+(declare-fun lockLT (Int Int) Int)
+(declare-fun arrayLength (Int) Int)
+(declare-fun arrayFresh (Int Int Int Int Int Int Int) Int)
+(declare-fun arrayShapeMore (Int Int) Int)
+(declare-fun arrayParent (Int) Int)
+(declare-fun arrayPosition (Int) Int)
+(declare-fun arrayShapeOne (Int) Int)
+(declare-fun arrayType () Int)
+(assert (= arrayType (asChild arrayType T_java_lang_Object)))
+(declare-fun isNewArray (Int) Int)
+(declare-fun boolAnd (Int Int) Int)
+(declare-fun boolEq (Int Int) Int)
+(declare-fun boolImplies (Int Int) Int)
+(declare-fun boolNE (Int Int) Int)
+(declare-fun boolNot (Int) Int)
+(declare-fun boolOr (Int Int) Int)
+(declare-fun integralEQ (Int Int) Int)
+(declare-fun stringCat (Int Int) Int)
+(declare-fun T_java_lang_String () Int)
+(declare-fun integralGE (Int Int) Int)
+(declare-fun integralGT (Int Int) Int)
+(declare-fun integralLE (Int Int) Int)
+(declare-fun integralLT (Int Int) Int)
+(declare-fun integralNE (Int Int) Int)
+(declare-fun refEQ (Int Int) Int)
+(declare-fun refNE (Int Int) Int)
+(declare-fun nonnullelements (Int Int) Int)
+(declare-fun classLiteral (Int) Int)
+(declare-fun T_java_lang_Class () Int)
+(declare-fun alloc () Int)
+(declare-fun integralAnd (Int Int) Int)
+(declare-fun integralOr (Int Int) Int)
+(declare-fun integralXor (Int Int) Int)
+(declare-fun intShiftL (Int Int) Int)
+(declare-fun longShiftL (Int Int) Int)
+(assert true)
+(declare-fun T_javafe_ast_Stmt () Int)
+(declare-fun T_javafe_ast_ASTNode () Int)
+(declare-fun T_javafe_ast_InstanceOfExpr () Int)
+(declare-fun T_javafe_ast_Expr () Int)
+(declare-fun T_java_util_Hashtable () Int)
+(declare-fun T_java_util_Dictionary () Int)
+(declare-fun T_java_util_Map () Int)
+(declare-fun T_java_io_Serializable () Int)
+(declare-fun T_javafe_ast_NewArrayExpr () Int)
+(declare-fun T_javafe_ast_StmtPragma () Int)
+(declare-fun T_javafe_ast_MethodInvocation () Int)
+(declare-fun T_java_lang_Float () Int)
+(declare-fun T_java_lang_Number () Int)
+(declare-fun T_java_lang_Comparable () Int)
+(declare-fun T_javafe_ast_Name () Int)
+(declare-fun T_javafe_ast_InitBlock () Int)
+(declare-fun T_javafe_ast_TypeDeclElem () Int)
+(declare-fun T_javafe_tc_Env () Int)
+(declare-fun T_javafe_ast_Modifiers () Int)
+(declare-fun T_javafe_tc_TagConstants () Int)
+(declare-fun T_javafe_parser_TagConstants () Int)
+(declare-fun T_javafe_ast_ObjectDesignator () Int)
+(declare-fun T_javafe_ast_LocalVarDecl () Int)
+(declare-fun T_javafe_ast_GenericVarDecl () Int)
+(declare-fun T_java_lang__the_root_interface_ () Int)
+(declare-fun T_javafe_tc_FieldDeclVec () Int)
+(declare-fun T_javafe_ast_CatchClause () Int)
+(declare-fun T_javafe_ast_GeneratedTags () Int)
+(declare-fun T_javafe_ast_SwitchStmt () Int)
+(declare-fun T_javafe_ast_GenericBlockStmt () Int)
+(declare-fun T_javafe_ast_LexicalPragmaVec () Int)
+(declare-fun T_javafe_ast_IdentifierVec () Int)
+(declare-fun T_javafe_util_Assert () Int)
+(declare-fun T_javafe_ast_FormalParaDeclVec () Int)
+(declare-fun T_javafe_ast_IfStmt () Int)
+(declare-fun T_java_lang_Boolean () Int)
+(declare-fun T_java_lang_Long () Int)
+(declare-fun T_javafe_ast_NewInstanceExpr () Int)
+(declare-fun T_javafe_ast_LiteralExpr () Int)
+(declare-fun T_javafe_ast_Identifier () Int)
+(declare-fun T_java_util_EscjavaKeyValue () Int)
+(declare-fun T_javafe_ast_SynchronizeStmt () Int)
+(declare-fun T_javafe_ast_CastExpr () Int)
+(declare-fun T_javafe_tc_MethodDeclVec () Int)
+(declare-fun T_javafe_ast_SwitchLabel () Int)
+(declare-fun T_javafe_ast_OnDemandImportDecl () Int)
+(declare-fun T_javafe_ast_ImportDecl () Int)
+(declare-fun T_javafe_ast_LexicalPragma () Int)
+(declare-fun T_javafe_ast_StandardPrettyPrint () Int)
+(declare-fun T_javafe_ast_PrettyPrint () Int)
+(declare-fun T_javafe_ast_BinaryExpr () Int)
+(declare-fun T_javafe_ast_TypeDeclElemVec () Int)
+(declare-fun T_javafe_ast_ConstructorInvocation () Int)
+(declare-fun T_javafe_ast_ThisExpr () Int)
+(declare-fun T_javafe_ast_TryFinallyStmt () Int)
+(declare-fun T_javafe_ast_TypeDecl () Int)
+(declare-fun T_javafe_ast_TypeModifierPragma () Int)
+(declare-fun T_javafe_ast_Type () Int)
+(declare-fun T_javafe_ast_ModifierPragma () Int)
+(declare-fun T_javafe_ast_SuperObjectDesignator () Int)
+(declare-fun T_java_lang_Integer () Int)
+(declare-fun T_javafe_ast_SingleTypeImportDecl () Int)
+(declare-fun T_javafe_ast_ForStmt () Int)
+(declare-fun T_javafe_ast_DoStmt () Int)
+(declare-fun T_javafe_ast_ASTDecoration () Int)
+(declare-fun T_javafe_ast_ExprObjectDesignator () Int)
+(declare-fun T_javafe_ast_VarInitVec () Int)
+(declare-fun T_javafe_ast_VariableAccess () Int)
+(declare-fun T_javafe_ast_TypeDeclVec () Int)
+(declare-fun T_javafe_ast_ThrowStmt () Int)
+(declare-fun T_javafe_ast_StmtVec () Int)
+(declare-fun T_javafe_ast_AmbiguousVariableAccess () Int)
+(declare-fun T_java_io_OutputStream () Int)
+(declare-fun T_javafe_ast_ClassDeclStmt () Int)
+(declare-fun T_javafe_ast_PrimitiveType () Int)
+(declare-fun T_java_lang_Character () Int)
+(declare-fun T_javafe_ast_AmbiguousMethodInvocation () Int)
+(declare-fun T_javafe_ast_TagConstants () Int)
+(declare-fun T_javafe_ast_OperatorTags () Int)
+(declare-fun T_javafe_ast_EvalStmt () Int)
+(declare-fun T_javafe_ast_ClassDecl () Int)
+(declare-fun T_javafe_ast_UnaryExpr () Int)
+(declare-fun T_javafe_ast_TryCatchStmt () Int)
+(declare-fun T_javafe_ast_FieldAccess () Int)
+(declare-fun T_javafe_ast_CompilationUnit () Int)
+(declare-fun T_javafe_ast_FieldDecl () Int)
+(declare-fun T_java_lang_Double () Int)
+(declare-fun T_javafe_ast_ClassLiteral () Int)
+(declare-fun T_javafe_ast_VarInit () Int)
+(declare-fun T_javafe_ast_ReturnStmt () Int)
+(declare-fun T_javafe_ast_FormalParaDecl () Int)
+(declare-fun T_javafe_ast_TypeDeclElemPragma () Int)
+(declare-fun T_javafe_ast_RoutineDecl () Int)
+(declare-fun T_javafe_ast_TypeObjectDesignator () Int)
+(declare-fun T_javafe_ast_TypeNameVec () Int)
+(declare-fun T_javafe_ast_ModifierPragmaVec () Int)
+(declare-fun T_javafe_ast_VarDeclStmt () Int)
+(declare-fun T_javafe_ast_ArrayRefExpr () Int)
+(declare-fun T_javafe_ast_BreakStmt () Int)
+(declare-fun T_javafe_ast_BranchStmt () Int)
+(declare-fun T_java_lang_StringBuffer () Int)
+(declare-fun T_javafe_ast_CatchClauseVec () Int)
+(declare-fun T_javafe_tc_TypeSig () Int)
+(declare-fun T_javafe_ast_TypeModifierPragmaVec () Int)
+(declare-fun T_javafe_ast_CondExpr () Int)
+(declare-fun T_javafe_ast_ArrayType () Int)
+(declare-fun T_javafe_ast_CompoundName () Int)
+(declare-fun T_javafe_ast_ArrayInit () Int)
+(declare-fun T_javafe_ast_ImportDeclVec () Int)
+(declare-fun T_javafe_ast_ExprVec () Int)
+(declare-fun T_javafe_ast_WhileStmt () Int)
+(declare-fun T_javafe_ast_BlockStmt () Int)
+(declare-fun T_javafe_ast_ParenExpr () Int)
+(declare-fun T_javafe_ast_MethodDecl () Int)
+(declare-fun T_javafe_ast_InterfaceDecl () Int)
+(declare-fun T_javafe_ast_ContinueStmt () Int)
+(declare-fun T_javafe_ast_SimpleName () Int)
+(declare-fun T_javafe_ast_SkipStmt () Int)
+(declare-fun T_javafe_ast_ConstructorDecl () Int)
+(declare-fun T_javafe_ast_LabelStmt () Int)
+(declare-fun T_javafe_util_Location () Int)
+(declare-fun T_javafe_ast_TypeName () Int)
+(declare-fun DIST_ZERO_1 () Int)
+(declare-fun T__TYPE () Int)
+(declare-fun VARDECLSTMT_33_27_7 () Int)
+(declare-fun AND_32_20_26 () Int)
+(declare-fun PARENEXPR_33_54_7 () Int)
+(declare-fun ASGURSHIFT_32_48_26 () Int)
+(declare-fun noTokens_119_212_27 () Int)
+(declare-fun NULLLIT_31_45_26 () Int)
+(declare-fun SWITCHSTMT_33_26_7 () Int)
+(declare-fun OR_32_19_26 () Int)
+(declare-fun CASTEXPR_33_53_7 () Int)
+(declare-fun ASGRSHIFT_32_47_26 () Int)
+(declare-fun STRINGLIT_31_44_26 () Int)
+(declare-fun BLOCKSTMT_33_25_7 () Int)
+(declare-fun INSTANCEOFEXPR_33_52_7 () Int)
+(declare-fun ASGLSHIFT_32_46_26 () Int)
+(declare-fun DOUBLELIT_31_43_26 () Int)
+(declare-fun TYPEMODIFIERPRAGMA_119_28_26 () Int)
+(declare-fun FORMALPARADECL_33_24_7 () Int)
+(declare-fun CONDEXPR_33_51_7 () Int)
+(declare-fun ASGSUB_32_45_26 () Int)
+(declare-fun FLOATLIT_31_42_26 () Int)
+(declare-fun TYPEDECLELEMPRAGMA_119_27_26 () Int)
+(declare-fun FIELDDECL_33_23_7 () Int)
+(declare-fun NEWARRAYEXPR_33_50_7 () Int)
+(declare-fun ASGADD_32_44_26 () Int)
+(declare-fun CHARLIT_31_41_26 () Int)
+(declare-fun STMTPRAGMA_119_26_26 () Int)
+(declare-fun LOCALVARDECL_33_22_7 () Int)
+(declare-fun NEWINSTANCEEXPR_33_49_7 () Int)
+(declare-fun ASGREM_32_43_26 () Int)
+(declare-fun LONGLIT_31_40_26 () Int)
+(declare-fun MODIFIERPRAGMA_119_25_26 () Int)
+(declare-fun INITBLOCK_33_21_7 () Int)
+(declare-fun ARRAYREFEXPR_33_48_7 () Int)
+(declare-fun ASGDIV_32_42_26 () Int)
+(declare-fun INTLIT_31_39_26 () Int)
+(declare-fun LEXICALPRAGMA_119_24_26 () Int)
+(declare-fun METHODDECL_33_20_7 () Int)
+(declare-fun otherCodes_119_202_27 () Int)
+(declare-fun THISEXPR_33_47_7 () Int)
+(declare-fun ASGMUL_32_41_26 () Int)
+(declare-fun BOOLEANLIT_31_38_26 () Int)
+(declare-fun CONSTRUCTORDECL_33_19_7 () Int)
+(declare-fun FIRST_KEYWORD_119_51_26 () Int)
+(declare-fun ARRAYINIT_33_46_7 () Int)
+(declare-fun ASSIGN_32_40_26 () Int)
+(declare-fun SHORTTYPE_31_36_26 () Int)
+(declare-fun INTERFACEDECL_33_18_7 () Int)
+(declare-fun CATCHCLAUSE_33_45_7 () Int)
+(declare-fun STAR_32_37_26 () Int)
+(declare-fun BYTETYPE_31_35_26 () Int)
+(declare-fun CLASSDECL_33_17_7 () Int)
+(declare-fun CONSTRUCTORINVOCATION_33_44_7 () Int)
+(declare-fun MOD_32_36_26 () Int)
+(declare-fun TYPESIG_118_6_28 () Int)
+(declare-fun NULLTYPE_31_34_26 () Int)
+(declare-fun ONDEMANDIMPORTDECL_33_16_7 () Int)
+(declare-fun TRYCATCHSTMT_33_43_7 () Int)
+(declare-fun DIV_32_35_26 () Int)
+(declare-fun VOIDTYPE_31_33_26 () Int)
+(declare-fun SINGLETYPEIMPORTDECL_33_15_7 () Int)
+(declare-fun TRYFINALLYSTMT_33_42_7 () Int)
+(declare-fun SUB_32_34_26 () Int)
+(declare-fun DOUBLETYPE_31_32_26 () Int)
+(declare-fun MIN_VALUE_105_39_30 () Int)
+(declare-fun neg2147483648 () Int)
+(declare-fun COMPILATIONUNIT_33_14_7 () Int)
+(declare-fun SWITCHLABEL_33_41_7 () Int)
+(declare-fun ADD_32_33_26 () Int)
+(declare-fun FLOATTYPE_31_31_26 () Int)
+(declare-fun otherStrings_119_193_30 () Int)
+(declare-fun SKIPSTMT_33_40_7 () Int)
+(declare-fun URSHIFT_32_32_26 () Int)
+(declare-fun COMPOUNDNAME_33_67_7 () Int)
+(declare-fun CHARTYPE_31_30_26 () Int)
+(declare-fun FORSTMT_33_39_7 () Int)
+(declare-fun RSHIFT_32_31_26 () Int)
+(declare-fun SIMPLENAME_33_66_7 () Int)
+(declare-fun LONGTYPE_31_29_26 () Int)
+(declare-fun IFSTMT_33_38_7 () Int)
+(declare-fun LSHIFT_32_30_26 () Int)
+(declare-fun ARRAYTYPE_33_65_7 () Int)
+(declare-fun INTTYPE_31_28_26 () Int)
+(declare-fun POSTFIXDEC_32_63_26 () Int)
+(declare-fun LABELSTMT_33_37_7 () Int)
+(declare-fun LT_32_29_26 () Int)
+(declare-fun TYPENAME_33_64_7 () Int)
+(declare-fun BOOLEANTYPE_31_27_26 () Int)
+(declare-fun POSTFIXINC_32_62_26 () Int)
+(declare-fun CONTINUESTMT_33_36_7 () Int)
+(declare-fun LE_32_28_26 () Int)
+(declare-fun SUPEROBJECTDESIGNATOR_33_63_7 () Int)
+(declare-fun IDENT_31_25_26 () Int)
+(declare-fun DEC_32_59_26 () Int)
+(declare-fun BREAKSTMT_33_35_7 () Int)
+(declare-fun GT_32_27_26 () Int)
+(declare-fun TYPEOBJECTDESIGNATOR_33_62_7 () Int)
+(declare-fun INC_32_58_26 () Int)
+(declare-fun THROWSTMT_33_34_7 () Int)
+(declare-fun GE_32_26_26 () Int)
+(declare-fun EXPROBJECTDESIGNATOR_33_61_7 () Int)
+(declare-fun BITNOT_32_57_26 () Int)
+(declare-fun RETURNSTMT_33_33_7 () Int)
+(declare-fun punctuationCodes_119_164_19 () Int)
+(declare-fun punctuationStrings_119_134_22 () Int)
+(declare-fun EQ_32_25_26 () Int)
+(declare-fun CLASSLITERAL_33_60_7 () Int)
+(declare-fun NOT_32_56_26 () Int)
+(declare-fun EVALSTMT_33_32_7 () Int)
+(declare-fun NE_32_24_26 () Int)
+(declare-fun METHODINVOCATION_33_59_7 () Int)
+(declare-fun LAST_KEYWORD_119_103_26 () Int)
+(declare-fun UNARYSUB_32_55_26 () Int)
+(declare-fun MIN_VALUE_107_38_29 () Int)
+(declare-fun neg9223372036854775808 () Int)
+(declare-fun keywordStrings_119_181_30 () Int)
+(declare-fun SYNCHRONIZESTMT_33_31_7 () Int)
+(declare-fun NULL_119_82_26 () Int)
+(declare-fun BITAND_32_23_26 () Int)
+(declare-fun AMBIGUOUSMETHODINVOCATION_33_58_7 () Int)
+(declare-fun UNARYADD_32_54_26 () Int)
+(declare-fun DOSTMT_33_30_7 () Int)
+(declare-fun BITXOR_32_22_26 () Int)
+(declare-fun FIELDACCESS_33_57_7 () Int)
+(declare-fun ASGBITXOR_32_51_26 () Int)
+(declare-fun WHILESTMT_33_29_7 () Int)
+(declare-fun BITOR_32_21_26 () Int)
+(declare-fun VARIABLEACCESS_33_56_7 () Int)
+(declare-fun NULL_116_60_26 () Int)
+(declare-fun ASGBITOR_32_50_26 () Int)
+(declare-fun CLASSDECLSTMT_33_28_7 () Int)
+(declare-fun AMBIGUOUSVARIABLEACCESS_33_55_7 () Int)
+(declare-fun ASGBITAND_32_49_26 () Int)
+(assert (let ((?v_0 (array T_int)) (?v_1 (array T_java_lang_String))) (and (= (PO_LT T_javafe_ast_Stmt T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Stmt (asChild T_javafe_ast_Stmt T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_InstanceOfExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_InstanceOfExpr (asChild T_javafe_ast_InstanceOfExpr T_javafe_ast_Expr)) (= (PO_LT T_java_util_Hashtable T_java_util_Dictionary) true_term) (= T_java_util_Hashtable (asChild T_java_util_Hashtable T_java_util_Dictionary)) (= (PO_LT T_java_util_Hashtable T_java_util_Map) true_term) (= (PO_LT T_java_util_Hashtable T_java_lang_Cloneable) true_term) (= (PO_LT T_java_util_Hashtable T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_NewArrayExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_NewArrayExpr (asChild T_javafe_ast_NewArrayExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_StmtPragma T_javafe_ast_Stmt) true_term) (= T_javafe_ast_StmtPragma (asChild T_javafe_ast_StmtPragma T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_MethodInvocation T_javafe_ast_Expr) true_term) (= T_javafe_ast_MethodInvocation (asChild T_javafe_ast_MethodInvocation T_javafe_ast_Expr)) (= (PO_LT T_java_lang_Float T_java_lang_Number) true_term) (= T_java_lang_Float (asChild T_java_lang_Float T_java_lang_Number)) true (= (PO_LT T_java_lang_Float T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_Name T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Name (asChild T_javafe_ast_Name T_javafe_ast_ASTNode)) (= (PO_LT T_java_io_Serializable T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_InitBlock T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_InitBlock (asChild T_javafe_ast_InitBlock T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_InitBlock T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_tc_Env T_java_lang_Object) true_term) (= T_javafe_tc_Env (asChild T_javafe_tc_Env T_java_lang_Object)) (= (PO_LT T_javafe_ast_Modifiers T_java_lang_Object) true_term) (= T_javafe_ast_Modifiers (asChild T_javafe_ast_Modifiers T_java_lang_Object)) (= (PO_LT T_javafe_tc_TagConstants T_javafe_parser_TagConstants) true_term) (= T_javafe_tc_TagConstants (asChild T_javafe_tc_TagConstants T_javafe_parser_TagConstants)) (= (PO_LT T_javafe_ast_ObjectDesignator T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_ObjectDesignator (asChild T_javafe_ast_ObjectDesignator T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_ASTNode T_java_lang_Object) true_term) (= T_javafe_ast_ASTNode (asChild T_javafe_ast_ASTNode T_java_lang_Object)) (= (PO_LT T_javafe_ast_ASTNode T_java_lang_Cloneable) true_term) (= (PO_LT T_javafe_ast_LocalVarDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_LocalVarDecl (asChild T_javafe_ast_LocalVarDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_java_lang__the_root_interface_ T_java_lang_Object) true_term) (= (PO_LT T_javafe_tc_FieldDeclVec T_java_lang_Object) true_term) (= T_javafe_tc_FieldDeclVec (asChild T_javafe_tc_FieldDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_CatchClause T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_CatchClause (asChild T_javafe_ast_CatchClause T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_GeneratedTags T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_SwitchStmt T_javafe_ast_GenericBlockStmt) true_term) (= T_javafe_ast_SwitchStmt (asChild T_javafe_ast_SwitchStmt T_javafe_ast_GenericBlockStmt)) (= (PO_LT T_javafe_ast_LexicalPragmaVec T_java_lang_Object) true_term) (= T_javafe_ast_LexicalPragmaVec (asChild T_javafe_ast_LexicalPragmaVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_IdentifierVec T_java_lang_Object) true_term) (= T_javafe_ast_IdentifierVec (asChild T_javafe_ast_IdentifierVec T_java_lang_Object)) (= (PO_LT T_java_lang_Comparable T_java_lang_Object) true_term) (= (PO_LT T_javafe_util_Assert T_java_lang_Object) true_term) (= T_javafe_util_Assert (asChild T_javafe_util_Assert T_java_lang_Object)) (= (PO_LT T_javafe_ast_FormalParaDeclVec T_java_lang_Object) true_term) (= T_javafe_ast_FormalParaDeclVec (asChild T_javafe_ast_FormalParaDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_IfStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_IfStmt (asChild T_javafe_ast_IfStmt T_javafe_ast_Stmt)) (= (PO_LT T_java_lang_Boolean T_java_lang_Object) true_term) (= T_java_lang_Boolean (asChild T_java_lang_Boolean T_java_lang_Object)) true (= (PO_LT T_java_lang_Boolean T_java_io_Serializable) true_term) (= (PO_LT T_java_lang_Long T_java_lang_Number) true_term) (= T_java_lang_Long (asChild T_java_lang_Long T_java_lang_Number)) true (= (PO_LT T_java_lang_Long T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_NewInstanceExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_NewInstanceExpr (asChild T_javafe_ast_NewInstanceExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_LiteralExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_LiteralExpr (asChild T_javafe_ast_LiteralExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_Identifier T_java_lang_Object) true_term) (= T_javafe_ast_Identifier (asChild T_javafe_ast_Identifier T_java_lang_Object)) true (= (PO_LT T_java_util_Map T_java_lang_Object) true_term) (= (PO_LT T_java_util_Map T_java_util_EscjavaKeyValue) true_term) (= (PO_LT T_javafe_ast_SynchronizeStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SynchronizeStmt (asChild T_javafe_ast_SynchronizeStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_CastExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_CastExpr (asChild T_javafe_ast_CastExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_tc_MethodDeclVec T_java_lang_Object) true_term) (= T_javafe_tc_MethodDeclVec (asChild T_javafe_tc_MethodDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_SwitchLabel T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SwitchLabel (asChild T_javafe_ast_SwitchLabel T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_OnDemandImportDecl T_javafe_ast_ImportDecl) true_term) (= T_javafe_ast_OnDemandImportDecl (asChild T_javafe_ast_OnDemandImportDecl T_javafe_ast_ImportDecl)) (= (PO_LT T_javafe_ast_LexicalPragma T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_LexicalPragma (asChild T_javafe_ast_LexicalPragma T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_StandardPrettyPrint T_javafe_ast_PrettyPrint) true_term) (= T_javafe_ast_StandardPrettyPrint (asChild T_javafe_ast_StandardPrettyPrint T_javafe_ast_PrettyPrint)) (= (PO_LT T_javafe_ast_BinaryExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_BinaryExpr (asChild T_javafe_ast_BinaryExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_TypeDeclElemVec T_java_lang_Object) true_term) (= T_javafe_ast_TypeDeclElemVec (asChild T_javafe_ast_TypeDeclElemVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_ConstructorInvocation T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ConstructorInvocation (asChild T_javafe_ast_ConstructorInvocation T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ThisExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ThisExpr (asChild T_javafe_ast_ThisExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_TryFinallyStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_TryFinallyStmt (asChild T_javafe_ast_TryFinallyStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_TypeDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_TypeDecl (asChild T_javafe_ast_TypeDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_TypeDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_TypeModifierPragma T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_TypeModifierPragma (asChild T_javafe_ast_TypeModifierPragma T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_Type T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Type (asChild T_javafe_ast_Type T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_ModifierPragma T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_ModifierPragma (asChild T_javafe_ast_ModifierPragma T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_SuperObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_SuperObjectDesignator (asChild T_javafe_ast_SuperObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_java_lang_Integer T_java_lang_Number) true_term) (= T_java_lang_Integer (asChild T_java_lang_Integer T_java_lang_Number)) true (= (PO_LT T_java_lang_Integer T_java_lang_Comparable) true_term) (= (PO_LT T_java_lang_Number T_java_lang_Object) true_term) (= T_java_lang_Number (asChild T_java_lang_Number T_java_lang_Object)) (= (PO_LT T_java_lang_Number T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_SingleTypeImportDecl T_javafe_ast_ImportDecl) true_term) (= T_javafe_ast_SingleTypeImportDecl (asChild T_javafe_ast_SingleTypeImportDecl T_javafe_ast_ImportDecl)) (= (PO_LT T_javafe_ast_ImportDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_ImportDecl (asChild T_javafe_ast_ImportDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_ForStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ForStmt (asChild T_javafe_ast_ForStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_DoStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_DoStmt (asChild T_javafe_ast_DoStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ASTDecoration T_java_lang_Object) true_term) (= T_javafe_ast_ASTDecoration (asChild T_javafe_ast_ASTDecoration T_java_lang_Object)) (= (PO_LT T_javafe_ast_ExprObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_ExprObjectDesignator (asChild T_javafe_ast_ExprObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_javafe_ast_VarInitVec T_java_lang_Object) true_term) (= T_javafe_ast_VarInitVec (asChild T_javafe_ast_VarInitVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_VariableAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_VariableAccess (asChild T_javafe_ast_VariableAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_TypeDeclVec T_java_lang_Object) true_term) (= T_javafe_ast_TypeDeclVec (asChild T_javafe_ast_TypeDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_ThrowStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ThrowStmt (asChild T_javafe_ast_ThrowStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_StmtVec T_java_lang_Object) true_term) (= T_javafe_ast_StmtVec (asChild T_javafe_ast_StmtVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_AmbiguousVariableAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_AmbiguousVariableAccess (asChild T_javafe_ast_AmbiguousVariableAccess T_javafe_ast_Expr)) (= (PO_LT T_java_io_OutputStream T_java_lang_Object) true_term) (= T_java_io_OutputStream (asChild T_java_io_OutputStream T_java_lang_Object)) (= (PO_LT T_java_util_Dictionary T_java_lang_Object) true_term) (= T_java_util_Dictionary (asChild T_java_util_Dictionary T_java_lang_Object)) (= (PO_LT T_java_util_Dictionary T_java_util_EscjavaKeyValue) true_term) (= (PO_LT T_javafe_ast_GenericVarDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_GenericVarDecl (asChild T_javafe_ast_GenericVarDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_ClassDeclStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ClassDeclStmt (asChild T_javafe_ast_ClassDeclStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_PrettyPrint T_java_lang_Object) true_term) (= T_javafe_ast_PrettyPrint (asChild T_javafe_ast_PrettyPrint T_java_lang_Object)) (= (PO_LT T_javafe_ast_GenericBlockStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_GenericBlockStmt (asChild T_javafe_ast_GenericBlockStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_PrimitiveType T_javafe_ast_Type) true_term) (= T_javafe_ast_PrimitiveType (asChild T_javafe_ast_PrimitiveType T_javafe_ast_Type)) (= (PO_LT T_java_lang_Character T_java_lang_Object) true_term) (= T_java_lang_Character (asChild T_java_lang_Character T_java_lang_Object)) true (= (PO_LT T_java_lang_Character T_java_io_Serializable) true_term) (= (PO_LT T_java_lang_Character T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_TypeDeclElem T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_AmbiguousMethodInvocation T_javafe_ast_Expr) true_term) (= T_javafe_ast_AmbiguousMethodInvocation (asChild T_javafe_ast_AmbiguousMethodInvocation T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_TagConstants T_javafe_ast_OperatorTags) true_term) (= T_javafe_ast_TagConstants (asChild T_javafe_ast_TagConstants T_javafe_ast_OperatorTags)) (= (PO_LT T_javafe_ast_EvalStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_EvalStmt (asChild T_javafe_ast_EvalStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ClassDecl T_javafe_ast_TypeDecl) true_term) (= T_javafe_ast_ClassDecl (asChild T_javafe_ast_ClassDecl T_javafe_ast_TypeDecl)) (= (PO_LT T_javafe_ast_UnaryExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_UnaryExpr (asChild T_javafe_ast_UnaryExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_TryCatchStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_TryCatchStmt (asChild T_javafe_ast_TryCatchStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_FieldAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_FieldAccess (asChild T_javafe_ast_FieldAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_CompilationUnit T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_CompilationUnit (asChild T_javafe_ast_CompilationUnit T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_FieldDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_FieldDecl (asChild T_javafe_ast_FieldDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_ast_FieldDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_java_lang_Double T_java_lang_Number) true_term) (= T_java_lang_Double (asChild T_java_lang_Double T_java_lang_Number)) true (= (PO_LT T_java_lang_Double T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_ClassLiteral T_javafe_ast_Expr) true_term) (= T_javafe_ast_ClassLiteral (asChild T_javafe_ast_ClassLiteral T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_VarInit T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_VarInit (asChild T_javafe_ast_VarInit T_javafe_ast_ASTNode)) (= (PO_LT T_java_lang_Cloneable T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_ReturnStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ReturnStmt (asChild T_javafe_ast_ReturnStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_FormalParaDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_FormalParaDecl (asChild T_javafe_ast_FormalParaDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_ast_TypeDeclElemPragma T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_TypeDeclElemPragma (asChild T_javafe_ast_TypeDeclElemPragma T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_TypeDeclElemPragma T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_RoutineDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_RoutineDecl (asChild T_javafe_ast_RoutineDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_RoutineDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_TypeObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_TypeObjectDesignator (asChild T_javafe_ast_TypeObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_javafe_ast_TypeNameVec T_java_lang_Object) true_term) (= T_javafe_ast_TypeNameVec (asChild T_javafe_ast_TypeNameVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_ModifierPragmaVec T_java_lang_Object) true_term) (= T_javafe_ast_ModifierPragmaVec (asChild T_javafe_ast_ModifierPragmaVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_VarDeclStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_VarDeclStmt (asChild T_javafe_ast_VarDeclStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ArrayRefExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ArrayRefExpr (asChild T_javafe_ast_ArrayRefExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_BreakStmt T_javafe_ast_BranchStmt) true_term) (= T_javafe_ast_BreakStmt (asChild T_javafe_ast_BreakStmt T_javafe_ast_BranchStmt)) (= (PO_LT T_javafe_ast_OperatorTags T_java_lang_Object) true_term) (= T_javafe_ast_OperatorTags (asChild T_javafe_ast_OperatorTags T_java_lang_Object)) (= (PO_LT T_javafe_ast_OperatorTags T_javafe_ast_GeneratedTags) true_term) (= (PO_LT T_java_lang_StringBuffer T_java_lang_Object) true_term) (= T_java_lang_StringBuffer (asChild T_java_lang_StringBuffer T_java_lang_Object)) true (= (PO_LT T_java_lang_StringBuffer T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_CatchClauseVec T_java_lang_Object) true_term) (= T_javafe_ast_CatchClauseVec (asChild T_javafe_ast_CatchClauseVec T_java_lang_Object)) (= (PO_LT T_javafe_tc_TypeSig T_javafe_ast_Type) true_term) (= T_javafe_tc_TypeSig (asChild T_javafe_tc_TypeSig T_javafe_ast_Type)) (= (PO_LT T_javafe_ast_TypeModifierPragmaVec T_java_lang_Object) true_term) (= T_javafe_ast_TypeModifierPragmaVec (asChild T_javafe_ast_TypeModifierPragmaVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_CondExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_CondExpr (asChild T_javafe_ast_CondExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ArrayType T_javafe_ast_Type) true_term) (= T_javafe_ast_ArrayType (asChild T_javafe_ast_ArrayType T_javafe_ast_Type)) (= (PO_LT T_javafe_parser_TagConstants T_javafe_ast_TagConstants) true_term) (= T_javafe_parser_TagConstants (asChild T_javafe_parser_TagConstants T_javafe_ast_TagConstants)) (= (PO_LT T_javafe_ast_CompoundName T_javafe_ast_Name) true_term) (= T_javafe_ast_CompoundName (asChild T_javafe_ast_CompoundName T_javafe_ast_Name)) (= (PO_LT T_javafe_ast_ArrayInit T_javafe_ast_VarInit) true_term) (= T_javafe_ast_ArrayInit (asChild T_javafe_ast_ArrayInit T_javafe_ast_VarInit)) (= (PO_LT T_javafe_ast_ImportDeclVec T_java_lang_Object) true_term) (= T_javafe_ast_ImportDeclVec (asChild T_javafe_ast_ImportDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_ExprVec T_java_lang_Object) true_term) (= T_javafe_ast_ExprVec (asChild T_javafe_ast_ExprVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_WhileStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_WhileStmt (asChild T_javafe_ast_WhileStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_BlockStmt T_javafe_ast_GenericBlockStmt) true_term) (= T_javafe_ast_BlockStmt (asChild T_javafe_ast_BlockStmt T_javafe_ast_GenericBlockStmt)) (= (PO_LT T_javafe_ast_ParenExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ParenExpr (asChild T_javafe_ast_ParenExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_MethodDecl T_javafe_ast_RoutineDecl) true_term) (= T_javafe_ast_MethodDecl (asChild T_javafe_ast_MethodDecl T_javafe_ast_RoutineDecl)) (= (PO_LT T_javafe_ast_InterfaceDecl T_javafe_ast_TypeDecl) true_term) (= T_javafe_ast_InterfaceDecl (asChild T_javafe_ast_InterfaceDecl T_javafe_ast_TypeDecl)) (= (PO_LT T_javafe_ast_ContinueStmt T_javafe_ast_BranchStmt) true_term) (= T_javafe_ast_ContinueStmt (asChild T_javafe_ast_ContinueStmt T_javafe_ast_BranchStmt)) (= (PO_LT T_javafe_ast_SimpleName T_javafe_ast_Name) true_term) (= T_javafe_ast_SimpleName (asChild T_javafe_ast_SimpleName T_javafe_ast_Name)) (= (PO_LT T_javafe_ast_Expr T_javafe_ast_VarInit) true_term) (= T_javafe_ast_Expr (asChild T_javafe_ast_Expr T_javafe_ast_VarInit)) (= (PO_LT T_java_lang_String T_java_lang_Object) true_term) (= T_java_lang_String (asChild T_java_lang_String T_java_lang_Object)) true (= (PO_LT T_java_lang_String T_java_io_Serializable) true_term) (= (PO_LT T_java_lang_String T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_SkipStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SkipStmt (asChild T_javafe_ast_SkipStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ConstructorDecl T_javafe_ast_RoutineDecl) true_term) (= T_javafe_ast_ConstructorDecl (asChild T_javafe_ast_ConstructorDecl T_javafe_ast_RoutineDecl)) (= (PO_LT T_java_util_EscjavaKeyValue T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_LabelStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_LabelStmt (asChild T_javafe_ast_LabelStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_BranchStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_BranchStmt (asChild T_javafe_ast_BranchStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_util_Location T_java_lang_Object) true_term) (= T_javafe_util_Location (asChild T_javafe_util_Location T_java_lang_Object)) (= (PO_LT T_javafe_ast_TypeName T_javafe_ast_Type) true_term) (= T_javafe_ast_TypeName (asChild T_javafe_ast_TypeName T_javafe_ast_Type)) (and (= arrayType (+ DIST_ZERO_1 0)) (= T_boolean (+ DIST_ZERO_1 1)) (= T_char (+ DIST_ZERO_1 2)) (= T_byte (+ DIST_ZERO_1 3)) (= T_short (+ DIST_ZERO_1 4)) (= T_int (+ DIST_ZERO_1 5)) (= T_long (+ DIST_ZERO_1 6)) (= T_float (+ DIST_ZERO_1 7)) (= T_double (+ DIST_ZERO_1 8)) (= T__TYPE (+ DIST_ZERO_1 9)) (= T_javafe_ast_Stmt (+ DIST_ZERO_1 10)) (= T_javafe_ast_InstanceOfExpr (+ DIST_ZERO_1 11)) (= T_java_util_Hashtable (+ DIST_ZERO_1 12)) (= T_javafe_ast_NewArrayExpr (+ DIST_ZERO_1 13)) (= T_javafe_ast_StmtPragma (+ DIST_ZERO_1 14)) (= T_javafe_ast_MethodInvocation (+ DIST_ZERO_1 15)) (= T_java_lang_Float (+ DIST_ZERO_1 16)) (= T_javafe_ast_Name (+ DIST_ZERO_1 17)) (= T_java_io_Serializable (+ DIST_ZERO_1 18)) (= T_javafe_ast_InitBlock (+ DIST_ZERO_1 19)) (= T_javafe_tc_Env (+ DIST_ZERO_1 20)) (= T_javafe_ast_Modifiers (+ DIST_ZERO_1 21)) (= T_javafe_tc_TagConstants (+ DIST_ZERO_1 22)) (= T_javafe_ast_ObjectDesignator (+ DIST_ZERO_1 23)) (= T_javafe_ast_ASTNode (+ DIST_ZERO_1 24)) (= T_javafe_ast_LocalVarDecl (+ DIST_ZERO_1 25)) (= T_java_lang__the_root_interface_ (+ DIST_ZERO_1 26)) (= T_javafe_tc_FieldDeclVec (+ DIST_ZERO_1 27)) (= T_javafe_ast_CatchClause (+ DIST_ZERO_1 28)) (= T_javafe_ast_GeneratedTags (+ DIST_ZERO_1 29)) (= T_javafe_ast_SwitchStmt (+ DIST_ZERO_1 30)) (= T_javafe_ast_LexicalPragmaVec (+ DIST_ZERO_1 31)) (= T_javafe_ast_IdentifierVec (+ DIST_ZERO_1 32)) (= T_java_lang_Comparable (+ DIST_ZERO_1 33)) (= T_javafe_util_Assert (+ DIST_ZERO_1 34)) (= T_javafe_ast_FormalParaDeclVec (+ DIST_ZERO_1 35)) (= T_javafe_ast_IfStmt (+ DIST_ZERO_1 36)) (= T_java_lang_Boolean (+ DIST_ZERO_1 37)) (= T_java_lang_Long (+ DIST_ZERO_1 38)) (= T_javafe_ast_NewInstanceExpr (+ DIST_ZERO_1 39)) (= T_javafe_ast_LiteralExpr (+ DIST_ZERO_1 40)) (= T_java_lang_Object (+ DIST_ZERO_1 41)) (= T_javafe_ast_Identifier (+ DIST_ZERO_1 42)) (= T_java_util_Map (+ DIST_ZERO_1 43)) (= T_javafe_ast_SynchronizeStmt (+ DIST_ZERO_1 44)) (= T_javafe_ast_CastExpr (+ DIST_ZERO_1 45)) (= T_javafe_tc_MethodDeclVec (+ DIST_ZERO_1 46)) (= T_javafe_ast_SwitchLabel (+ DIST_ZERO_1 47)) (= T_javafe_ast_OnDemandImportDecl (+ DIST_ZERO_1 48)) (= T_javafe_ast_LexicalPragma (+ DIST_ZERO_1 49)) (= T_javafe_ast_StandardPrettyPrint (+ DIST_ZERO_1 50)) (= T_javafe_ast_BinaryExpr (+ DIST_ZERO_1 51)) (= T_javafe_ast_TypeDeclElemVec (+ DIST_ZERO_1 52)) (= T_javafe_ast_ConstructorInvocation (+ DIST_ZERO_1 53)) (= T_javafe_ast_ThisExpr (+ DIST_ZERO_1 54)) (= T_javafe_ast_TryFinallyStmt (+ DIST_ZERO_1 55)) (= T_javafe_ast_TypeDecl (+ DIST_ZERO_1 56)) (= T_javafe_ast_TypeModifierPragma (+ DIST_ZERO_1 57)) (= T_javafe_ast_Type (+ DIST_ZERO_1 58)) (= T_javafe_ast_ModifierPragma (+ DIST_ZERO_1 59)) (= T_javafe_ast_SuperObjectDesignator (+ DIST_ZERO_1 60)) (= T_java_lang_Integer (+ DIST_ZERO_1 61)) (= T_java_lang_Number (+ DIST_ZERO_1 62)) (= T_javafe_ast_SingleTypeImportDecl (+ DIST_ZERO_1 63)) (= T_javafe_ast_ImportDecl (+ DIST_ZERO_1 64)) (= T_javafe_ast_ForStmt (+ DIST_ZERO_1 65)) (= T_javafe_ast_DoStmt (+ DIST_ZERO_1 66)) (= T_javafe_ast_ASTDecoration (+ DIST_ZERO_1 67)) (= T_javafe_ast_ExprObjectDesignator (+ DIST_ZERO_1 68)) (= T_javafe_ast_VarInitVec (+ DIST_ZERO_1 69)) (= T_javafe_ast_VariableAccess (+ DIST_ZERO_1 70)) (= T_javafe_ast_TypeDeclVec (+ DIST_ZERO_1 71)) (= T_javafe_ast_ThrowStmt (+ DIST_ZERO_1 72)) (= T_javafe_ast_StmtVec (+ DIST_ZERO_1 73)) (= T_javafe_ast_AmbiguousVariableAccess (+ DIST_ZERO_1 74)) (= T_java_io_OutputStream (+ DIST_ZERO_1 75)) (= T_java_util_Dictionary (+ DIST_ZERO_1 76)) (= T_javafe_ast_GenericVarDecl (+ DIST_ZERO_1 77)) (= T_javafe_ast_ClassDeclStmt (+ DIST_ZERO_1 78)) (= T_javafe_ast_PrettyPrint (+ DIST_ZERO_1 79)) (= T_javafe_ast_GenericBlockStmt (+ DIST_ZERO_1 80)) (= T_javafe_ast_PrimitiveType (+ DIST_ZERO_1 81)) (= T_java_lang_Character (+ DIST_ZERO_1 82)) (= T_javafe_ast_TypeDeclElem (+ DIST_ZERO_1 83)) (= T_javafe_ast_AmbiguousMethodInvocation (+ DIST_ZERO_1 84)) (= T_javafe_ast_TagConstants (+ DIST_ZERO_1 85)) (= T_javafe_ast_EvalStmt (+ DIST_ZERO_1 86)) (= T_javafe_ast_ClassDecl (+ DIST_ZERO_1 87)) (= T_javafe_ast_UnaryExpr (+ DIST_ZERO_1 88)) (= T_javafe_ast_TryCatchStmt (+ DIST_ZERO_1 89)) (= T_javafe_ast_FieldAccess (+ DIST_ZERO_1 90)) (= T_javafe_ast_CompilationUnit (+ DIST_ZERO_1 91)) (= T_javafe_ast_FieldDecl (+ DIST_ZERO_1 92)) (= T_java_lang_Double (+ DIST_ZERO_1 93)) (= T_javafe_ast_ClassLiteral (+ DIST_ZERO_1 94)) (= T_javafe_ast_VarInit (+ DIST_ZERO_1 95)) (= T_java_lang_Cloneable (+ DIST_ZERO_1 96)) (= T_javafe_ast_ReturnStmt (+ DIST_ZERO_1 97)) (= T_javafe_ast_FormalParaDecl (+ DIST_ZERO_1 98)) (= T_javafe_ast_TypeDeclElemPragma (+ DIST_ZERO_1 99)) (= T_javafe_ast_RoutineDecl (+ DIST_ZERO_1 100)) (= T_javafe_ast_TypeObjectDesignator (+ DIST_ZERO_1 101)) (= T_javafe_ast_TypeNameVec (+ DIST_ZERO_1 102)) (= T_javafe_ast_ModifierPragmaVec (+ DIST_ZERO_1 103)) (= T_javafe_ast_VarDeclStmt (+ DIST_ZERO_1 104)) (= T_javafe_ast_ArrayRefExpr (+ DIST_ZERO_1 105)) (= T_javafe_ast_BreakStmt (+ DIST_ZERO_1 106)) (= T_javafe_ast_OperatorTags (+ DIST_ZERO_1 107)) (= T_java_lang_StringBuffer (+ DIST_ZERO_1 108)) (= T_javafe_ast_CatchClauseVec (+ DIST_ZERO_1 109)) (= T_javafe_tc_TypeSig (+ DIST_ZERO_1 110)) (= T_javafe_ast_TypeModifierPragmaVec (+ DIST_ZERO_1 111)) (= T_javafe_ast_CondExpr (+ DIST_ZERO_1 112)) (= T_javafe_ast_ArrayType (+ DIST_ZERO_1 113)) (= T_javafe_parser_TagConstants (+ DIST_ZERO_1 114)) (= T_javafe_ast_CompoundName (+ DIST_ZERO_1 115)) (= T_javafe_ast_ArrayInit (+ DIST_ZERO_1 116)) (= T_javafe_ast_ImportDeclVec (+ DIST_ZERO_1 117)) (= T_javafe_ast_ExprVec (+ DIST_ZERO_1 118)) (= T_javafe_ast_WhileStmt (+ DIST_ZERO_1 119)) (= T_javafe_ast_BlockStmt (+ DIST_ZERO_1 120)) (= T_javafe_ast_ParenExpr (+ DIST_ZERO_1 121)) (= T_javafe_ast_MethodDecl (+ DIST_ZERO_1 122)) (= T_javafe_ast_InterfaceDecl (+ DIST_ZERO_1 123)) (= T_javafe_ast_ContinueStmt (+ DIST_ZERO_1 124)) (= T_javafe_ast_SimpleName (+ DIST_ZERO_1 125)) (= T_javafe_ast_Expr (+ DIST_ZERO_1 126)) (= T_java_lang_String (+ DIST_ZERO_1 127)) (= T_javafe_ast_SkipStmt (+ DIST_ZERO_1 128)) (= T_javafe_ast_ConstructorDecl (+ DIST_ZERO_1 129)) (= T_java_util_EscjavaKeyValue (+ DIST_ZERO_1 130)) (= T_javafe_ast_LabelStmt (+ DIST_ZERO_1 131)) (= T_javafe_ast_BranchStmt (+ DIST_ZERO_1 132)) (= T_javafe_util_Location (+ DIST_ZERO_1 133)) (= T_javafe_ast_TypeName (+ DIST_ZERO_1 134))) (= true_term (is VARDECLSTMT_33_27_7 T_int)) (= VARDECLSTMT_33_27_7 13) (= true_term (is AND_32_20_26 T_int)) (= AND_32_20_26 55) (= true_term (is PARENEXPR_33_54_7 T_int)) (= PARENEXPR_33_54_7 40) (= true_term (is ASGURSHIFT_32_48_26 T_int)) (= ASGURSHIFT_32_48_26 81) (= true_term (is noTokens_119_212_27 T_int)) (= true_term (is NULLLIT_31_45_26 T_int)) (= NULLLIT_31_45_26 111) (= true_term (is SWITCHSTMT_33_26_7 T_int)) (= SWITCHSTMT_33_26_7 12) (= true_term (is OR_32_19_26 T_int)) (= OR_32_19_26 54) (= true_term (is CASTEXPR_33_53_7 T_int)) (= CASTEXPR_33_53_7 39) (= true_term (is ASGRSHIFT_32_47_26 T_int)) (= ASGRSHIFT_32_47_26 80) (= true_term (is STRINGLIT_31_44_26 T_int)) (= STRINGLIT_31_44_26 110) (= true_term (is BLOCKSTMT_33_25_7 T_int)) (= BLOCKSTMT_33_25_7 11) (= true_term (is INSTANCEOFEXPR_33_52_7 T_int)) (= INSTANCEOFEXPR_33_52_7 38) (= true_term (is ASGLSHIFT_32_46_26 T_int)) (= ASGLSHIFT_32_46_26 79) (= true_term (is DOUBLELIT_31_43_26 T_int)) (= DOUBLELIT_31_43_26 109) (= true_term (is TYPEMODIFIERPRAGMA_119_28_26 T_int)) (= TYPEMODIFIERPRAGMA_119_28_26 118) (= true_term (is FORMALPARADECL_33_24_7 T_int)) (= FORMALPARADECL_33_24_7 10) (= true_term (is CONDEXPR_33_51_7 T_int)) (= CONDEXPR_33_51_7 37) (= true_term (is ASGSUB_32_45_26 T_int)) (= ASGSUB_32_45_26 78) (= true_term (is FLOATLIT_31_42_26 T_int)) (= FLOATLIT_31_42_26 108) (= true_term (is TYPEDECLELEMPRAGMA_119_27_26 T_int)) (= TYPEDECLELEMPRAGMA_119_27_26 117) (= true_term (is FIELDDECL_33_23_7 T_int)) (= FIELDDECL_33_23_7 9) (= true_term (is NEWARRAYEXPR_33_50_7 T_int)) (= NEWARRAYEXPR_33_50_7 36) (= true_term (is ASGADD_32_44_26 T_int)) (= ASGADD_32_44_26 77) (= true_term (is CHARLIT_31_41_26 T_int)) (= CHARLIT_31_41_26 107) (= true_term (is STMTPRAGMA_119_26_26 T_int)) (= STMTPRAGMA_119_26_26 116) (= true_term (is LOCALVARDECL_33_22_7 T_int)) (= LOCALVARDECL_33_22_7 8) (= true_term (is NEWINSTANCEEXPR_33_49_7 T_int)) (= NEWINSTANCEEXPR_33_49_7 35) (= true_term (is ASGREM_32_43_26 T_int)) (= ASGREM_32_43_26 76) (= true_term (is LONGLIT_31_40_26 T_int)) (= LONGLIT_31_40_26 106) (= true_term (is MODIFIERPRAGMA_119_25_26 T_int)) (= MODIFIERPRAGMA_119_25_26 115) (= true_term (is INITBLOCK_33_21_7 T_int)) (= INITBLOCK_33_21_7 7) (= true_term (is ARRAYREFEXPR_33_48_7 T_int)) (= ARRAYREFEXPR_33_48_7 34) (= true_term (is ASGDIV_32_42_26 T_int)) (= ASGDIV_32_42_26 75) (= true_term (is INTLIT_31_39_26 T_int)) (= INTLIT_31_39_26 105) (= true_term (is LEXICALPRAGMA_119_24_26 T_int)) (= LEXICALPRAGMA_119_24_26 114) (= true_term (is METHODDECL_33_20_7 T_int)) (= METHODDECL_33_20_7 6) (= true_term (is otherCodes_119_202_27 ?v_0)) (not (= otherCodes_119_202_27 null)) (= (typeof otherCodes_119_202_27) ?v_0) (= (arrayLength otherCodes_119_202_27) 15) (= true_term (is THISEXPR_33_47_7 T_int)) (= THISEXPR_33_47_7 33) (= true_term (is ASGMUL_32_41_26 T_int)) (= ASGMUL_32_41_26 74) (= true_term (is BOOLEANLIT_31_38_26 T_int)) (= BOOLEANLIT_31_38_26 104) (= true_term (is CONSTRUCTORDECL_33_19_7 T_int)) (= CONSTRUCTORDECL_33_19_7 5) (= true_term (is FIRST_KEYWORD_119_51_26 T_int)) (= FIRST_KEYWORD_119_51_26 133) (= true_term (is ARRAYINIT_33_46_7 T_int)) (= ARRAYINIT_33_46_7 32) (= true_term (is ASSIGN_32_40_26 T_int)) (= ASSIGN_32_40_26 73) (= true_term (is SHORTTYPE_31_36_26 T_int)) (= SHORTTYPE_31_36_26 103) (= true_term (is INTERFACEDECL_33_18_7 T_int)) (= INTERFACEDECL_33_18_7 4) (= true_term (is CATCHCLAUSE_33_45_7 T_int)) (= CATCHCLAUSE_33_45_7 31) (= true_term (is STAR_32_37_26 T_int)) (= STAR_32_37_26 72) (= true_term (is BYTETYPE_31_35_26 T_int)) (= BYTETYPE_31_35_26 102) (= true_term (is CLASSDECL_33_17_7 T_int)) (= CLASSDECL_33_17_7 3) (= true_term (is CONSTRUCTORINVOCATION_33_44_7 T_int)) (= CONSTRUCTORINVOCATION_33_44_7 30) (= true_term (is MOD_32_36_26 T_int)) (= MOD_32_36_26 71) (= true_term (is TYPESIG_118_6_28 T_int)) (= TYPESIG_118_6_28 184) (= true_term (is NULLTYPE_31_34_26 T_int)) (= NULLTYPE_31_34_26 101) (= true_term (is ONDEMANDIMPORTDECL_33_16_7 T_int)) (= ONDEMANDIMPORTDECL_33_16_7 2) (= true_term (is TRYCATCHSTMT_33_43_7 T_int)) (= TRYCATCHSTMT_33_43_7 29) (= true_term (is DIV_32_35_26 T_int)) (= DIV_32_35_26 70) (= true_term (is VOIDTYPE_31_33_26 T_int)) (= VOIDTYPE_31_33_26 100) (= true_term (is SINGLETYPEIMPORTDECL_33_15_7 T_int)) (= SINGLETYPEIMPORTDECL_33_15_7 1) (= true_term (is TRYFINALLYSTMT_33_42_7 T_int)) (= TRYFINALLYSTMT_33_42_7 28) (= true_term (is SUB_32_34_26 T_int)) (= SUB_32_34_26 69) (= true_term (is DOUBLETYPE_31_32_26 T_int)) (= DOUBLETYPE_31_32_26 99) (= true_term (is MIN_VALUE_105_39_30 T_int)) (= MIN_VALUE_105_39_30 neg2147483648) (= true_term (is COMPILATIONUNIT_33_14_7 T_int)) (= COMPILATIONUNIT_33_14_7 0) (= true_term (is SWITCHLABEL_33_41_7 T_int)) (= SWITCHLABEL_33_41_7 27) (= true_term (is ADD_32_33_26 T_int)) (= ADD_32_33_26 68) (= true_term (is FLOATTYPE_31_31_26 T_int)) (= FLOATTYPE_31_31_26 98) (= true_term (is otherStrings_119_193_30 ?v_1)) (not (= otherStrings_119_193_30 null)) (= (typeof otherStrings_119_193_30) ?v_1) (= (arrayLength otherStrings_119_193_30) 15) (= true_term (is SKIPSTMT_33_40_7 T_int)) (= SKIPSTMT_33_40_7 26) (= true_term (is URSHIFT_32_32_26 T_int)) (= URSHIFT_32_32_26 67) (= true_term (is COMPOUNDNAME_33_67_7 T_int)) (= COMPOUNDNAME_33_67_7 53) (= true_term (is CHARTYPE_31_30_26 T_int)) (= CHARTYPE_31_30_26 97) (= true_term (is FORSTMT_33_39_7 T_int)) (= FORSTMT_33_39_7 25) (= true_term (is RSHIFT_32_31_26 T_int)) (= RSHIFT_32_31_26 66) (= true_term (is SIMPLENAME_33_66_7 T_int)) (= SIMPLENAME_33_66_7 52) (= true_term (is LONGTYPE_31_29_26 T_int)) (= LONGTYPE_31_29_26 96) (= true_term (is IFSTMT_33_38_7 T_int)) (= IFSTMT_33_38_7 24) (= true_term (is LSHIFT_32_30_26 T_int)) (= LSHIFT_32_30_26 65) (= true_term (is ARRAYTYPE_33_65_7 T_int)) (= ARRAYTYPE_33_65_7 51) (= true_term (is INTTYPE_31_28_26 T_int)) (= INTTYPE_31_28_26 95) (= true_term (is POSTFIXDEC_32_63_26 T_int)) (= POSTFIXDEC_32_63_26 92) (= true_term (is LABELSTMT_33_37_7 T_int)) (= LABELSTMT_33_37_7 23) (= true_term (is LT_32_29_26 T_int)) (= LT_32_29_26 64) (= true_term (is TYPENAME_33_64_7 T_int)) (= TYPENAME_33_64_7 50) (= true_term (is BOOLEANTYPE_31_27_26 T_int)) (= BOOLEANTYPE_31_27_26 94) (= true_term (is POSTFIXINC_32_62_26 T_int)) (= POSTFIXINC_32_62_26 91) (= true_term (is CONTINUESTMT_33_36_7 T_int)) (= CONTINUESTMT_33_36_7 22) (= true_term (is LE_32_28_26 T_int)) (= LE_32_28_26 63) (= true_term (is SUPEROBJECTDESIGNATOR_33_63_7 T_int)) (= SUPEROBJECTDESIGNATOR_33_63_7 49) (= true_term (is IDENT_31_25_26 T_int)) (= IDENT_31_25_26 93) (= true_term (is DEC_32_59_26 T_int)) (= DEC_32_59_26 90) (= true_term (is BREAKSTMT_33_35_7 T_int)) (= BREAKSTMT_33_35_7 21) (= true_term (is GT_32_27_26 T_int)) (= GT_32_27_26 62) (= true_term (is TYPEOBJECTDESIGNATOR_33_62_7 T_int)) (= TYPEOBJECTDESIGNATOR_33_62_7 48) (= true_term (is INC_32_58_26 T_int)) (= INC_32_58_26 89) (= true_term (is THROWSTMT_33_34_7 T_int)) (= THROWSTMT_33_34_7 20) (= true_term (is GE_32_26_26 T_int)) (= GE_32_26_26 61) (= true_term (is EXPROBJECTDESIGNATOR_33_61_7 T_int)) (= EXPROBJECTDESIGNATOR_33_61_7 47) (= true_term (is BITNOT_32_57_26 T_int)) (= BITNOT_32_57_26 88) (= true_term (is RETURNSTMT_33_33_7 T_int)) (= RETURNSTMT_33_33_7 19) (= true_term (is punctuationCodes_119_164_19 ?v_0)) (not (= punctuationCodes_119_164_19 null)) (= (typeof punctuationCodes_119_164_19) ?v_0) (= (arrayLength punctuationCodes_119_164_19) 48) (= true_term (is punctuationStrings_119_134_22 ?v_1)) (not (= punctuationStrings_119_134_22 null)) (= (typeof punctuationStrings_119_134_22) ?v_1) (= (arrayLength punctuationStrings_119_134_22) 48) (= true_term (is EQ_32_25_26 T_int)) (= EQ_32_25_26 60) (= true_term (is CLASSLITERAL_33_60_7 T_int)) (= CLASSLITERAL_33_60_7 46) (= true_term (is NOT_32_56_26 T_int)) (= NOT_32_56_26 87) (= true_term (is EVALSTMT_33_32_7 T_int)) (= EVALSTMT_33_32_7 18) (= true_term (is NE_32_24_26 T_int)) (= NE_32_24_26 59) (= true_term (is METHODINVOCATION_33_59_7 T_int)) (= METHODINVOCATION_33_59_7 45) (= true_term (is LAST_KEYWORD_119_103_26 T_int)) (= LAST_KEYWORD_119_103_26 183) (= true_term (is UNARYSUB_32_55_26 T_int)) (= UNARYSUB_32_55_26 86) (= true_term (is MIN_VALUE_107_38_29 T_long)) (= MIN_VALUE_107_38_29 neg9223372036854775808) (= true_term (is keywordStrings_119_181_30 ?v_1)) (not (= keywordStrings_119_181_30 null)) (= (typeof keywordStrings_119_181_30) ?v_1) (= (arrayLength keywordStrings_119_181_30) 51) (= true_term (is SYNCHRONIZESTMT_33_31_7 T_int)) (= SYNCHRONIZESTMT_33_31_7 17) (= true_term (is NULL_119_82_26 T_int)) (= NULL_119_82_26 163) (= true_term (is BITAND_32_23_26 T_int)) (= BITAND_32_23_26 58) (= true_term (is AMBIGUOUSMETHODINVOCATION_33_58_7 T_int)) (= AMBIGUOUSMETHODINVOCATION_33_58_7 44) (= true_term (is UNARYADD_32_54_26 T_int)) (= UNARYADD_32_54_26 85) (= true_term (is DOSTMT_33_30_7 T_int)) (= DOSTMT_33_30_7 16) (= true_term (is BITXOR_32_22_26 T_int)) (= BITXOR_32_22_26 57) (= true_term (is FIELDACCESS_33_57_7 T_int)) (= FIELDACCESS_33_57_7 43) (= true_term (is ASGBITXOR_32_51_26 T_int)) (= ASGBITXOR_32_51_26 84) (= true_term (is WHILESTMT_33_29_7 T_int)) (= WHILESTMT_33_29_7 15) (= true_term (is BITOR_32_21_26 T_int)) (= BITOR_32_21_26 56) (= true_term (is VARIABLEACCESS_33_56_7 T_int)) (= VARIABLEACCESS_33_56_7 42) (= true_term (is NULL_116_60_26 T_int)) (= NULL_116_60_26 0) (= true_term (is ASGBITOR_32_50_26 T_int)) (= ASGBITOR_32_50_26 83) (= true_term (is CLASSDECLSTMT_33_28_7 T_int)) (= CLASSDECLSTMT_33_28_7 14) (= true_term (is AMBIGUOUSVARIABLEACCESS_33_55_7 T_int)) (= AMBIGUOUSVARIABLEACCESS_33_55_7 41) (= true_term (is ASGBITAND_32_49_26 T_int)) (= ASGBITAND_32_49_26 82))))
+(declare-fun S_1068_58 () Int)
+(declare-fun S_1068_13 () Int)
+(declare-fun loc_pre_71_29_13 () Int)
+(declare-fun loc_71_29_13 () Int)
+(declare-fun loc_pre_68_33_13 () Int)
+(declare-fun loc_68_33_13 () Int)
+(declare-fun VARDECLSTMT_pre_33_27_7 () Int)
+(declare-fun name_pre_96_20_28 () Int)
+(declare-fun name_96_20_28 () Int)
+(declare-fun tag_pre_117_30_13 () Int)
+(declare-fun tag_117_30_13 () Int)
+(declare-fun label_pre_58_15_34 () Int)
+(declare-fun label_58_15_34 () Int)
+(declare-fun type_pre_87_32_32 () Int)
+(declare-fun type_87_32_32 () Int)
+(declare-fun locOp_pre_93_43_13 () Int)
+(declare-fun locOp_93_43_13 () Int)
+(declare-fun locOpenParen_pre_101_28_13 () Int)
+(declare-fun locOpenParen_101_28_13 () Int)
+(declare-fun name_pre_100_25_28 () Int)
+(declare-fun name_100_25_28 () Int)
+(declare-fun args_pre_70_51_31 () Int)
+(declare-fun args_70_51_31 () Int)
+(declare-fun AND_pre_32_20_26 () Int)
+(declare-fun PARENEXPR_pre_33_54_7 () Int)
+(declare-fun loc_pre_55_20_13 () Int)
+(declare-fun loc_55_20_13 () Int)
+(declare-fun ASGURSHIFT_pre_32_48_26 () Int)
+(declare-fun id_pre_97_19_34 () Int)
+(declare-fun id_97_19_34 () Int)
+(declare-fun noTokens_pre_119_212_27 () Int)
+(declare-fun count_pre_26_67_33 () Int)
+(declare-fun count_26_67_33 () Int)
+(declare-fun NULLLIT_pre_31_45_26 () Int)
+(declare-fun modifiers_pre_24_28_13 () Int)
+(declare-fun modifiers_24_28_13 () Int)
+(declare-fun locGuardOpenParen_pre_49_23_13 () Int)
+(declare-fun locGuardOpenParen_49_23_13 () Int)
+(declare-fun type_pre_91_18_28 () Int)
+(declare-fun type_91_18_28 () Int)
+(declare-fun SWITCHSTMT_pre_33_26_7 () Int)
+(declare-fun tmodifiers_pre_21_30_33 () Int)
+(declare-fun tmodifiers_21_30_33 () Int)
+(declare-fun OR_pre_32_19_26 () Int)
+(declare-fun specOnly_pre_24_26_17 () Int)
+(declare-fun specOnly_24_26_17 () Int)
+(declare-fun CASTEXPR_pre_33_53_7 () Int)
+(declare-fun pmodifiers_pre_76_26_27 () Int)
+(declare-fun pmodifiers_76_26_27 () Int)
+(declare-fun loc_pre_90_21_13 () Int)
+(declare-fun loc_90_21_13 () Int)
+(declare-fun ASGRSHIFT_pre_32_47_26 () Int)
+(declare-fun locCloseParen_pre_95_21_13 () Int)
+(declare-fun locCloseParen_95_21_13 () Int)
+(declare-fun count_pre_84_67_33 () Int)
+(declare-fun count_84_67_33 () Int)
+(declare-fun STRINGLIT_pre_31_44_26 () Int)
+(declare-fun hasParent_pre_25_149_30 () Int)
+(declare-fun hasParent_25_149_30 () Int)
+(declare-fun BLOCKSTMT_pre_33_25_7 () Int)
+(declare-fun modifiers_pre_76_24_13 () Int)
+(declare-fun modifiers_76_24_13 () Int)
+(declare-fun locFinally_pre_59_25_13 () Int)
+(declare-fun locFinally_59_25_13 () Int)
+(declare-fun locDot_pre_87_29_13 () Int)
+(declare-fun locDot_87_29_13 () Int)
+(declare-fun locDot_pre_92_23_13 () Int)
+(declare-fun locDot_92_23_13 () Int)
+(declare-fun locOpenParen_pre_70_48_13 () Int)
+(declare-fun locOpenParen_70_48_13 () Int)
+(declare-fun loc_pre_162_20_13 () Int)
+(declare-fun loc_162_20_13 () Int)
+(declare-fun syntax_pre_21_28_29 () Int)
+(declare-fun syntax_21_28_29 () Int)
+(declare-fun INSTANCEOFEXPR_pre_33_52_7 () Int)
+(declare-fun ASGLSHIFT_pre_32_46_26 () Int)
+(declare-fun implicit_pre_76_23_17 () Int)
+(declare-fun implicit_76_23_17 () Int)
+(declare-fun dims_pre_88_45_31 () Int)
+(declare-fun dims_88_45_31 () Int)
+(declare-fun count_pre_46_67_33 () Int)
+(declare-fun count_46_67_33 () Int)
+(declare-fun DOUBLELIT_pre_31_43_26 () Int)
+(declare-fun TYPEMODIFIERPRAGMA_pre_119_28_26 () Int)
+(declare-fun classPrefix_pre_71_25_14 () Int)
+(declare-fun classPrefix_71_25_14 () Int)
+(declare-fun init_pre_73_20_17 () Int)
+(declare-fun init_73_20_17 () Int)
+(declare-fun body_pre_68_30_28 () Int)
+(declare-fun body_68_30_28 () Int)
+(declare-fun FORMALPARADECL_pre_33_24_7 () Int)
+(declare-fun tag_pre_85_32_13 () Int)
+(declare-fun tag_85_32_13 () Int)
+(declare-fun elements_pre_82_61_47 () Int)
+(declare-fun elements_82_61_47 () Int)
+(declare-fun locId_pre_101_25_13 () Int)
+(declare-fun locId_101_25_13 () Int)
+(declare-fun parent_pre_76_21_18 () Int)
+(declare-fun parent_76_21_18 () Int)
+(declare-fun CONDEXPR_pre_33_51_7 () Int)
+(declare-fun ASGSUB_pre_32_45_26 () Int)
+(declare-fun stmt_pre_55_17_33 () Int)
+(declare-fun stmt_55_17_33 () Int)
+(declare-fun parent_pre_73_18_18 () Int)
+(declare-fun parent_73_18_18 () Int)
+(declare-fun right_pre_93_40_28 () Int)
+(declare-fun right_93_40_28 () Int)
+(declare-fun parent_pre_24_59_18 () Int)
+(declare-fun parent_24_59_18 () Int)
+(declare-fun FLOATLIT_pre_31_42_26 () Int)
+(declare-fun TYPEDECLELEMPRAGMA_pre_119_27_26 () Int)
+(declare-fun pkgName_pre_22_15_28 () Int)
+(declare-fun pkgName_22_15_28 () Int)
+(declare-fun FIELDDECL_pre_33_23_7 () Int)
+(declare-fun loc_pre_6_30_13 () Int)
+(declare-fun loc_6_30_13 () Int)
+(declare-fun NEWARRAYEXPR_pre_33_50_7 () Int)
+(declare-fun elements_pre_17_61_39 () Int)
+(declare-fun elements_17_61_39 () Int)
+(declare-fun loc_pre_85_50_13 () Int)
+(declare-fun loc_85_50_13 () Int)
+(declare-fun ASGADD_pre_32_44_26 () Int)
+(declare-fun type_pre_90_18_28 () Int)
+(declare-fun type_90_18_28 () Int)
+(declare-fun value_pre_29_91_31 () Int)
+(declare-fun value_29_91_31 () Int)
+(declare-fun CHARLIT_pre_31_41_26 () Int)
+(declare-fun STMTPRAGMA_pre_119_26_26 () Int)
+(declare-fun count_pre_61_67_33 () Int)
+(declare-fun count_61_67_33 () Int)
+(declare-fun expr_pre_91_15_28 () Int)
+(declare-fun expr_91_15_28 () Int)
+(declare-fun forUpdate_pre_68_28_31 () Int)
+(declare-fun forUpdate_68_28_31 () Int)
+(declare-fun LOCALVARDECL_pre_33_22_7 () Int)
+(declare-fun elements_pre_155_61_39 () Int)
+(declare-fun elements_155_61_39 () Int)
+(declare-fun locKeyword_pre_70_45_13 () Int)
+(declare-fun locKeyword_70_45_13 () Int)
+(declare-fun NEWINSTANCEEXPR_pre_33_49_7 () Int)
+(declare-fun count_pre_35_67_33 () Int)
+(declare-fun count_35_67_33 () Int)
+(declare-fun expr_pre_55_15_28 () Int)
+(declare-fun expr_55_15_28 () Int)
+(declare-fun ASGREM_pre_32_43_26 () Int)
+(declare-fun left_pre_93_38_28 () Int)
+(declare-fun left_93_38_28 () Int)
+(declare-fun loc_pre_49_20_13 () Int)
+(declare-fun loc_49_20_13 () Int)
+(declare-fun loc_pre_59_22_13 () Int)
+(declare-fun loc_59_22_13 () Int)
+(declare-fun LONGLIT_pre_31_40_26 () Int)
+(declare-fun MODIFIERPRAGMA_pre_119_25_26 () Int)
+(declare-fun locCloseBracket_pre_86_23_13 () Int)
+(declare-fun locCloseBracket_86_23_13 () Int)
+(declare-fun enclosingInstance_pre_87_25_14 () Int)
+(declare-fun enclosingInstance_87_25_14 () Int)
+(declare-fun INITBLOCK_pre_33_21_7 () Int)
+(declare-fun locOpenParen_pre_95_18_13 () Int)
+(declare-fun locOpenParen_95_18_13 () Int)
+(declare-fun elements_pre_14_61_42 () Int)
+(declare-fun elements_14_61_42 () Int)
+(declare-fun count_pre_77_67_33 () Int)
+(declare-fun count_77_67_33 () Int)
+(declare-fun ARRAYREFEXPR_pre_33_48_7 () Int)
+(declare-fun ASGDIV_pre_32_42_26 () Int)
+(declare-fun type_pre_92_20_28 () Int)
+(declare-fun type_92_20_28 () Int)
+(declare-fun INTLIT_pre_31_39_26 () Int)
+(declare-fun locCloseBrace_pre_24_54_13 () Int)
+(declare-fun locCloseBrace_24_54_13 () Int)
+(declare-fun LEXICALPRAGMA_pre_119_24_26 () Int)
+(declare-fun id_pre_101_20_34 () Int)
+(declare-fun id_101_20_34 () Int)
+(declare-fun test_pre_68_26_28 () Int)
+(declare-fun test_68_26_28 () Int)
+(declare-fun displayInferred_pre_4_73_26 () Int)
+(declare-fun displayInferred_4_73_26 () Int)
+(declare-fun METHODDECL_pre_33_20_7 () Int)
+(declare-fun otherCodes_pre_119_202_27 () Int)
+(declare-fun count_pre_143_67_33 () Int)
+(declare-fun count_143_67_33 () Int)
+(declare-fun elements_pre_23_61_37 () Int)
+(declare-fun elements_23_61_37 () Int)
+(declare-fun THISEXPR_pre_33_47_7 () Int)
+(declare-fun ASGMUL_pre_32_41_26 () Int)
+(declare-fun value_pre_85_45_16 () Int)
+(declare-fun value_85_45_16 () Int)
+(declare-fun elems_pre_6_27_35 () Int)
+(declare-fun elems_6_27_35 () Int)
+(declare-fun op_pre_93_35_13 () Int)
+(declare-fun op_93_35_13 () Int)
+(declare-fun parent_pre_79_18_18 () Int)
+(declare-fun parent_79_18_18 () Int)
+(declare-fun loc_pre_60_23_13 () Int)
+(declare-fun loc_60_23_13 () Int)
+(declare-fun BOOLEANLIT_pre_31_38_26 () Int)
+(declare-fun CONSTRUCTORDECL_pre_33_19_7 () Int)
+(declare-fun loc_pre_51_22_13 () Int)
+(declare-fun loc_51_22_13 () Int)
+(declare-fun locOpenBracket_pre_81_21_13 () Int)
+(declare-fun locOpenBracket_81_21_13 () Int)
+(declare-fun FIRST_KEYWORD_pre_119_51_26 () Int)
+(declare-fun ARRAYINIT_pre_33_46_7 () Int)
+(declare-fun ASSIGN_pre_32_40_26 () Int)
+(declare-fun expr_pre_90_15_28 () Int)
+(declare-fun expr_90_15_28 () Int)
+(declare-fun INDENT_pre_4_65_22 () Int)
+(declare-fun INDENT_4_65_22 () Int)
+(declare-fun locDot_pre_70_41_13 () Int)
+(declare-fun locDot_70_41_13 () Int)
+(declare-fun ids_pre_163_19_37 () Int)
+(declare-fun ids_163_19_37 () Int)
+(declare-fun stmt_pre_49_17_28 () Int)
+(declare-fun stmt_49_17_28 () Int)
+(declare-fun finallyClause_pre_59_19_28 () Int)
+(declare-fun finallyClause_59_19_28 () Int)
+(declare-fun init_pre_88_35_19 () Int)
+(declare-fun init_88_35_19 () Int)
+(declare-fun SHORTTYPE_pre_31_36_26 () Int)
+(declare-fun od_pre_101_18_40 () Int)
+(declare-fun od_101_18_40 () Int)
+(declare-fun forInit_pre_68_24_31 () Int)
+(declare-fun forInit_68_24_31 () Int)
+(declare-fun decl_pre_98_28_19 () Int)
+(declare-fun decl_98_28_19 () Int)
+(declare-fun INTERFACEDECL_pre_33_18_7 () Int)
+(declare-fun expr_pre_95_15_28 () Int)
+(declare-fun expr_95_15_28 () Int)
+(declare-fun locOpenBracket_pre_86_20_13 () Int)
+(declare-fun locOpenBracket_86_20_13 () Int)
+(declare-fun CATCHCLAUSE_pre_33_45_7 () Int)
+(declare-fun STAR_pre_32_37_26 () Int)
+(declare-fun locOp_pre_94_32_13 () Int)
+(declare-fun locOp_94_32_13 () Int)
+(declare-fun length_pre_16_50_25 () Int)
+(declare-fun length_16_50_25 () Int)
+(declare-fun imports_pre_6_25_37 () Int)
+(declare-fun imports_6_25_37 () Int)
+(declare-fun BYTETYPE_pre_31_35_26 () Int)
+(declare-fun locOpenBrace_pre_24_51_13 () Int)
+(declare-fun locOpenBrace_24_51_13 () Int)
+(declare-fun CLASSDECL_pre_33_17_7 () Int)
+(declare-fun CONSTRUCTORINVOCATION_pre_33_44_7 () Int)
+(declare-fun MOD_pre_32_36_26 () Int)
+(declare-fun TYPESIG_pre_118_6_28 () Int)
+(declare-fun expr_pre_49_15_28 () Int)
+(declare-fun expr_49_15_28 () Int)
+(declare-fun tryClause_pre_59_17_28 () Int)
+(declare-fun tryClause_59_17_28 () Int)
+(declare-fun NULLTYPE_pre_31_34_26 () Int)
+(declare-fun count_pre_69_67_33 () Int)
+(declare-fun count_69_67_33 () Int)
+(declare-fun ONDEMANDIMPORTDECL_pre_33_16_7 () Int)
+(declare-fun els_pre_51_19_28 () Int)
+(declare-fun els_51_19_28 () Int)
+(declare-fun loc_pre_50_20_13 () Int)
+(declare-fun loc_50_20_13 () Int)
+(declare-fun count_pre_154_67_33 () Int)
+(declare-fun count_154_67_33 () Int)
+(declare-fun locCloseBrace_pre_45_25_13 () Int)
+(declare-fun locCloseBrace_45_25_13 () Int)
+(declare-fun TRYCATCHSTMT_pre_33_43_7 () Int)
+(declare-fun locType_pre_75_21_13 () Int)
+(declare-fun locType_75_21_13 () Int)
+(declare-fun DIV_pre_32_35_26 () Int)
+(declare-fun loc_pre_44_18_13 () Int)
+(declare-fun loc_44_18_13 () Int)
+(declare-fun VOIDTYPE_pre_31_33_26 () Int)
+(declare-fun catchClauses_pre_60_20_38 () Int)
+(declare-fun catchClauses_60_20_38 () Int)
+(declare-fun SINGLETYPEIMPORTDECL_pre_33_15_7 () Int)
+(declare-fun lexicalPragmas_pre_6_23_26 () Int)
+(declare-fun lexicalPragmas_6_23_26 () Int)
+(declare-fun count_pre_38_67_33 () Int)
+(declare-fun count_38_67_33 () Int)
+(declare-fun loc_pre_40_18_13 () Int)
+(declare-fun loc_40_18_13 () Int)
+(declare-fun elements_pre_26_61_43 () Int)
+(declare-fun elements_26_61_43 () Int)
+(declare-fun TRYFINALLYSTMT_pre_33_42_7 () Int)
+(declare-fun index_pre_86_17_28 () Int)
+(declare-fun index_86_17_28 () Int)
+(declare-fun SUB_pre_32_34_26 () Int)
+(declare-fun expr_pre_94_29_28 () Int)
+(declare-fun expr_94_29_28 () Int)
+(declare-fun locCloseBrace_pre_83_24_13 () Int)
+(declare-fun locCloseBrace_83_24_13 () Int)
+(declare-fun loc_pre_62_22_13 () Int)
+(declare-fun loc_62_22_13 () Int)
+(declare-fun locId_pre_98_24_13 () Int)
+(declare-fun locId_98_24_13 () Int)
+(declare-fun DOUBLETYPE_pre_31_32_26 () Int)
+(declare-fun MIN_VALUE_pre_105_39_30 () Int)
+(declare-fun COMPILATIONUNIT_pre_33_14_7 () Int)
+(declare-fun thn_pre_51_17_28 () Int)
+(declare-fun thn_51_17_28 () Int)
+(declare-fun elemType_pre_81_18_28 () Int)
+(declare-fun elemType_81_18_28 () Int)
+(declare-fun pkgName_pre_6_21_14 () Int)
+(declare-fun pkgName_6_21_14 () Int)
+(declare-fun enclosingInstance_pre_70_37_14 () Int)
+(declare-fun enclosingInstance_70_37_14 () Int)
+(declare-fun locId_pre_24_48_13 () Int)
+(declare-fun locId_24_48_13 () Int)
+(declare-fun elements_pre_84_61_36 () Int)
+(declare-fun elements_84_61_36 () Int)
+(declare-fun SWITCHLABEL_pre_33_41_7 () Int)
+(declare-fun ADD_pre_32_33_26 () Int)
+(declare-fun locDots_pre_163_31_29 () Int)
+(declare-fun locDots_163_31_29 () Int)
+(declare-fun typeName_pre_19_15_32 () Int)
+(declare-fun typeName_19_15_32 () Int)
+(declare-fun type_pre_88_24_28 () Int)
+(declare-fun type_88_24_28 () Int)
+(declare-fun type_pre_103_27_28 () Int)
+(declare-fun type_103_27_28 () Int)
+(declare-fun FLOATTYPE_pre_31_31_26 () Int)
+(declare-fun name_pre_20_18_28 () Int)
+(declare-fun name_20_18_28 () Int)
+(declare-fun locId_pre_76_43_13 () Int)
+(declare-fun locId_76_43_13 () Int)
+(declare-fun otherStrings_pre_119_193_30 () Int)
+(declare-fun stmt_pre_50_17_28 () Int)
+(declare-fun stmt_50_17_28 () Int)
+(declare-fun tryClause_pre_60_18_28 () Int)
+(declare-fun tryClause_60_18_28 () Int)
+(declare-fun elements_pre_46_61_33 () Int)
+(declare-fun elements_46_61_33 () Int)
+(declare-fun array_pre_86_15_28 () Int)
+(declare-fun array_86_15_28 () Int)
+(declare-fun SKIPSTMT_pre_33_40_7 () Int)
+(declare-fun locOpenBrace_pre_45_22_13 () Int)
+(declare-fun locOpenBrace_45_22_13 () Int)
+(declare-fun op_pre_94_26_13 () Int)
+(declare-fun op_94_26_13 () Int)
+(declare-fun URSHIFT_pre_32_32_26 () Int)
+(declare-fun expr_pre_44_15_28 () Int)
+(declare-fun expr_44_15_28 () Int)
+(declare-fun locOpenBrackets_pre_88_65_29 () Int)
+(declare-fun locOpenBrackets_88_65_29 () Int)
+(declare-fun COMPOUNDNAME_pre_33_67_7 () Int)
+(declare-fun CHARTYPE_pre_31_30_26 () Int)
+(declare-fun locId_pre_64_38_13 () Int)
+(declare-fun locId_64_38_13 () Int)
+(declare-fun expr_pre_51_15_28 () Int)
+(declare-fun expr_51_15_28 () Int)
+(declare-fun expr_pre_40_15_14 () Int)
+(declare-fun expr_40_15_14 () Int)
+(declare-fun FORSTMT_pre_33_39_7 () Int)
+(declare-fun RSHIFT_pre_32_31_26 () Int)
+(declare-fun body_pre_62_19_33 () Int)
+(declare-fun body_62_19_33 () Int)
+(declare-fun locOpenBrace_pre_83_21_13 () Int)
+(declare-fun locOpenBrace_83_21_13 () Int)
+(declare-fun id_pre_98_21_34 () Int)
+(declare-fun id_98_21_34 () Int)
+(declare-fun block_pre_74_28_33 () Int)
+(declare-fun block_74_28_33 () Int)
+(declare-fun SIMPLENAME_pre_33_66_7 () Int)
+(declare-fun LONGTYPE_pre_31_29_26 () Int)
+(declare-fun expr_pre_50_15_28 () Int)
+(declare-fun expr_50_15_28 () Int)
+(declare-fun locColon_pre_89_25_13 () Int)
+(declare-fun locColon_89_25_13 () Int)
+(declare-fun superClass_pre_34_15_18 () Int)
+(declare-fun superClass_34_15_18 () Int)
+(declare-fun returnType_pre_75_18_28 () Int)
+(declare-fun returnType_75_18_28 () Int)
+(declare-fun IFSTMT_pre_33_38_7 () Int)
+(declare-fun elements_pre_61_61_40 () Int)
+(declare-fun elements_61_61_40 () Int)
+(declare-fun LSHIFT_pre_32_30_26 () Int)
+(declare-fun self_pre_4_41_37 () Int)
+(declare-fun self_4_41_37 () Int)
+(declare-fun loc_pre_24_45_13 () Int)
+(declare-fun loc_24_45_13 () Int)
+(declare-fun ARRAYTYPE_pre_33_65_7 () Int)
+(declare-fun INTTYPE_pre_31_28_26 () Int)
+(declare-fun POSTFIXDEC_pre_32_63_26 () Int)
+(declare-fun locOpenParen_pre_87_52_13 () Int)
+(declare-fun locOpenParen_87_52_13 () Int)
+(declare-fun elements_pre_35_61_37 () Int)
+(declare-fun elements_35_61_37 () Int)
+(declare-fun loc_pre_76_40_13 () Int)
+(declare-fun loc_76_40_13 () Int)
+(declare-fun LABELSTMT_pre_33_37_7 () Int)
+(declare-fun stmts_pre_45_19_31 () Int)
+(declare-fun stmts_45_19_31 () Int)
+(declare-fun LT_pre_32_29_26 () Int)
+(declare-fun arg_pre_62_17_38 () Int)
+(declare-fun arg_62_17_38 () Int)
+(declare-fun od_pre_98_19_40 () Int)
+(declare-fun od_98_19_40 () Int)
+(declare-fun pmodifiers_pre_74_26_27 () Int)
+(declare-fun pmodifiers_74_26_27 () Int)
+(declare-fun elements_pre_77_61_43 () Int)
+(declare-fun elements_77_61_43 () Int)
+(declare-fun TYPENAME_pre_33_64_7 () Int)
+(declare-fun BOOLEANTYPE_pre_31_27_26 () Int)
+(declare-fun POSTFIXINC_pre_32_62_26 () Int)
+(declare-fun type_pre_64_35_28 () Int)
+(declare-fun type_64_35_28 () Int)
+(declare-fun init_pre_67_19_17 () Int)
+(declare-fun init_67_19_17 () Int)
+(declare-fun modifiers_pre_74_24_13 () Int)
+(declare-fun modifiers_74_24_13 () Int)
+(declare-fun CONTINUESTMT_pre_33_36_7 () Int)
+(declare-fun LE_pre_32_28_26 () Int)
+(declare-fun elems_pre_83_18_34 () Int)
+(declare-fun elems_83_18_34 () Int)
+(declare-fun SUPEROBJECTDESIGNATOR_pre_33_63_7 () Int)
+(declare-fun parent_pre_74_22_18 () Int)
+(declare-fun parent_74_22_18 () Int)
+(declare-fun IDENT_pre_31_25_26 () Int)
+(declare-fun DEC_pre_32_59_26 () Int)
+(declare-fun elements_pre_143_61_39 () Int)
+(declare-fun elements_143_61_39 () Int)
+(declare-fun count_pre_82_67_33 () Int)
+(declare-fun count_82_67_33 () Int)
+(declare-fun loc_pre_47_29_13 () Int)
+(declare-fun loc_47_29_13 () Int)
+(declare-fun tokenType_pre_37_90_8 () Int)
+(declare-fun tokenType_37_90_8 () Int)
+(declare-fun BREAKSTMT_pre_33_35_7 () Int)
+(declare-fun loc_pre_43_18_13 () Int)
+(declare-fun loc_43_18_13 () Int)
+(declare-fun locQuestion_pre_89_22_13 () Int)
+(declare-fun locQuestion_89_22_13 () Int)
+(declare-fun GT_pre_32_27_26 () Int)
+(declare-fun elems_pre_24_41_39 () Int)
+(declare-fun elems_24_41_39 () Int)
+(declare-fun TYPEOBJECTDESIGNATOR_pre_33_62_7 () Int)
+(declare-fun INC_pre_32_58_26 () Int)
+(declare-fun loc_pre_87_49_13 () Int)
+(declare-fun loc_87_49_13 () Int)
+(declare-fun count_pre_17_67_33 () Int)
+(declare-fun count_17_67_33 () Int)
+(declare-fun id_pre_75_15_34 () Int)
+(declare-fun id_75_15_34 () Int)
+(declare-fun THROWSTMT_pre_33_34_7 () Int)
+(declare-fun superCall_pre_70_24_17 () Int)
+(declare-fun superCall_70_24_17 () Int)
+(declare-fun GE_pre_32_26_26 () Int)
+(declare-fun decl_pre_97_26_38 () Int)
+(declare-fun decl_97_26_38 () Int)
+(declare-fun EXPROBJECTDESIGNATOR_pre_33_61_7 () Int)
+(declare-fun BITNOT_pre_32_57_26 () Int)
+(declare-fun count_pre_155_67_33 () Int)
+(declare-fun count_155_67_33 () Int)
+(declare-fun RETURNSTMT_pre_33_33_7 () Int)
+(declare-fun punctuationCodes_pre_119_164_19 () Int)
+(declare-fun count_pre_14_67_33 () Int)
+(declare-fun count_14_67_33 () Int)
+(declare-fun punctuationStrings_pre_119_134_22 () Int)
+(declare-fun EQ_pre_32_25_26 () Int)
+(declare-fun loc_pre_53_18_13 () Int)
+(declare-fun loc_53_18_13 () Int)
+(declare-fun locDot_pre_99_21_13 () Int)
+(declare-fun locDot_99_21_13 () Int)
+(declare-fun CLASSLITERAL_pre_33_60_7 () Int)
+(declare-fun locSuper_pre_161_20_13 () Int)
+(declare-fun locSuper_161_20_13 () Int)
+(declare-fun NOT_pre_32_56_26 () Int)
+(declare-fun loc_pre_160_16_13 () Int)
+(declare-fun loc_160_16_13 () Int)
+(declare-fun locCloseParen_pre_91_24_13 () Int)
+(declare-fun locCloseParen_91_24_13 () Int)
+(declare-fun args_pre_100_32_31 () Int)
+(declare-fun args_100_32_31 () Int)
+(declare-fun decl_pre_101_34_20 () Int)
+(declare-fun decl_101_34_20 () Int)
+(declare-fun id_pre_64_32_34 () Int)
+(declare-fun id_64_32_34 () Int)
+(declare-fun expr_pre_47_26_14 () Int)
+(declare-fun expr_47_26_14 () Int)
+(declare-fun decl_pre_66_15_36 () Int)
+(declare-fun decl_66_15_36 () Int)
+(declare-fun locOpenParen_pre_55_23_13 () Int)
+(declare-fun locOpenParen_55_23_13 () Int)
+(declare-fun EVALSTMT_pre_33_32_7 () Int)
+(declare-fun elements_pre_69_61_33 () Int)
+(declare-fun elements_69_61_33 () Int)
+(declare-fun owner_pre_5_35_28 () Int)
+(declare-fun owner_5_35_28 () Int)
+(declare-fun expr_pre_43_15_28 () Int)
+(declare-fun expr_43_15_28 () Int)
+(declare-fun NE_pre_32_24_26 () Int)
+(declare-fun locId_pre_58_20_13 () Int)
+(declare-fun locId_58_20_13 () Int)
+(declare-fun elements_pre_154_61_38 () Int)
+(declare-fun elements_154_61_38 () Int)
+(declare-fun METHODINVOCATION_pre_33_59_7 () Int)
+(declare-fun els_pre_89_19_28 () Int)
+(declare-fun els_89_19_28 () Int)
+(declare-fun LAST_KEYWORD_pre_119_103_26 () Int)
+(declare-fun UNARYSUB_pre_32_55_26 () Int)
+(declare-fun MIN_VALUE_pre_107_38_29 () Int)
+(declare-fun anonDecl_pre_87_45_19 () Int)
+(declare-fun anonDecl_87_45_19 () Int)
+(declare-fun count_pre_23_67_33 () Int)
+(declare-fun count_23_67_33 () Int)
+(declare-fun loc_pre_18_18_13 () Int)
+(declare-fun loc_18_18_13 () Int)
+(declare-fun superInterfaces_pre_24_34_35 () Int)
+(declare-fun superInterfaces_24_34_35 () Int)
+(declare-fun keywordStrings_pre_119_181_30 () Int)
+(declare-fun locOpenBrace_pre_76_36_13 () Int)
+(declare-fun locOpenBrace_76_36_13 () Int)
+(declare-fun SYNCHRONIZESTMT_pre_33_31_7 () Int)
+(declare-fun NULL_pre_119_82_26 () Int)
+(declare-fun BITAND_pre_32_23_26 () Int)
+(declare-fun count_pre_29_99_33 () Int)
+(declare-fun count_29_99_33 () Int)
+(declare-fun elements_pre_38_61_41 () Int)
+(declare-fun elements_38_61_41 () Int)
+(declare-fun body_pre_76_34_19 () Int)
+(declare-fun body_76_34_19 () Int)
+(declare-fun AMBIGUOUSMETHODINVOCATION_pre_33_58_7 () Int)
+(declare-fun UNARYADD_pre_32_54_26 () Int)
+(declare-fun pmodifiers_pre_64_30_27 () Int)
+(declare-fun pmodifiers_64_30_27 () Int)
+(declare-fun locOpenParen_pre_100_30_13 () Int)
+(declare-fun locOpenParen_100_30_13 () Int)
+(declare-fun locFirstSemi_pre_68_36_13 () Int)
+(declare-fun locFirstSemi_68_36_13 () Int)
+(declare-fun loc_pre_117_35_13 () Int)
+(declare-fun loc_117_35_13 () Int)
+(declare-fun raises_pre_76_32_35 () Int)
+(declare-fun raises_76_32_35 () Int)
+(declare-fun inst_pre_4_29_44 () Int)
+(declare-fun inst_4_29_44 () Int)
+(declare-fun DOSTMT_pre_33_30_7 () Int)
+(declare-fun expr_pre_57_15_28 () Int)
+(declare-fun expr_57_15_28 () Int)
+(declare-fun BITXOR_pre_32_22_26 () Int)
+(declare-fun modifiers_pre_64_28_13 () Int)
+(declare-fun modifiers_64_28_13 () Int)
+(declare-fun label_pre_53_15_20 () Int)
+(declare-fun label_53_15_20 () Int)
+(declare-fun FIELDACCESS_pre_33_57_7 () Int)
+(declare-fun thn_pre_89_17_28 () Int)
+(declare-fun thn_89_17_28 () Int)
+(declare-fun ASGBITXOR_pre_32_51_26 () Int)
+(declare-fun loc_pre_97_22_13 () Int)
+(declare-fun loc_97_22_13 () Int)
+(declare-fun locIds_pre_163_25_29 () Int)
+(declare-fun locIds_163_25_29 () Int)
+(declare-fun id_pre_24_32_34 () Int)
+(declare-fun id_24_32_34 () Int)
+(declare-fun locOpenParen_pre_91_21_13 () Int)
+(declare-fun locOpenParen_91_21_13 () Int)
+(declare-fun WHILESTMT_pre_33_29_7 () Int)
+(declare-fun BITOR_pre_32_21_26 () Int)
+(declare-fun stmt_pre_58_17_28 () Int)
+(declare-fun stmt_58_17_28 () Int)
+(declare-fun args_pre_101_30_31 () Int)
+(declare-fun args_101_30_31 () Int)
+(declare-fun args_pre_87_34_31 () Int)
+(declare-fun args_87_34_31 () Int)
+(declare-fun VARIABLEACCESS_pre_33_56_7 () Int)
+(declare-fun NULL_pre_116_60_26 () Int)
+(declare-fun ASGBITOR_pre_32_50_26 () Int)
+(declare-fun inferred_pre_71_33_17 () Int)
+(declare-fun inferred_71_33_17 () Int)
+(declare-fun expr_pre_102_22_28 () Int)
+(declare-fun expr_102_22_28 () Int)
+(declare-fun decl_pre_65_15_33 () Int)
+(declare-fun decl_65_15_33 () Int)
+(declare-fun args_pre_76_30_41 () Int)
+(declare-fun args_76_30_41 () Int)
+(declare-fun CLASSDECLSTMT_pre_33_28_7 () Int)
+(declare-fun test_pre_89_15_28 () Int)
+(declare-fun test_89_15_28 () Int)
+(declare-fun AMBIGUOUSVARIABLEACCESS_pre_33_55_7 () Int)
+(declare-fun ASGBITAND_pre_32_49_26 () Int)
+(declare-fun loc_pre_88_49_13 () Int)
+(declare-fun loc_88_49_13 () Int)
+(declare-fun pmodifiers_pre_24_30_27 () Int)
+(declare-fun pmodifiers_24_30_27 () Int)
+(declare-fun elems_pre () Int)
+(declare-fun elems () Int)
+(declare-fun LS () Int)
+(declare-fun alloc_pre () Int)
+(declare-fun this () Int)
+(declare-fun o_1067_33 () Int)
+(declare-fun lp_1067_50 () Int)
+(declare-fun RES_1068_42_1068_42 () Int)
+(declare-fun EC_1068_42_1068_42 () Int)
+(declare-fun ecReturn () Int)
+(declare-fun s_1068_4_1068_4_4_381_50 () Int)
+(declare-fun EC_1068_4_1068_4 () Int)
+(declare-fun RES_1069_18_1069_18 () Int)
+(declare-fun EC_1069_18_1069_18 () Int)
+(declare-fun EC_1069_4_1069_4 () Int)
+(assert (let ((?v_0 (array T_int)) (?v_1 (array T_java_lang_String)) (?v_6 (not (= o_1067_33 null))) (?v_2 (not (= lp_1067_50 null)))) (let ((?v_8 (not ?v_2)) (?v_11 (= true_term (is RES_1068_42_1068_42 T_int))) (?v_3 (= EC_1068_42_1068_42 ecReturn)) (?v_4 (= true_term (is lp_1067_50 T_javafe_ast_Type))) (?v_5 (not (= RES_1068_42_1068_42 NULL_116_60_26)))) (let ((?v_12 (=> (and ?v_3 (not (and ?v_4 ?v_2))) ?v_5)) (?v_13 (=> (and ?v_3 ?v_4 ?v_2 (= true_term (S_select syntax_21_28_29 (cast lp_1067_50 T_javafe_ast_Type)))) ?v_5)) (?v_7 (= s_1068_4_1068_4_4_381_50 (stringCat (stringCat S_1068_13 RES_1068_42_1068_42) S_1068_58))) (?v_14 (= EC_1068_4_1068_4 ecReturn)) (?v_15 (= true_term (is RES_1069_18_1069_18 T_java_lang_String))) (?v_16 (= true_term (isAllocated RES_1069_18_1069_18 alloc))) (?v_9 (= EC_1069_18_1069_18 ecReturn)) (?v_10 (not (= RES_1069_18_1069_18 null)))) (let ((?v_17 (=> ?v_9 ?v_10))) (not (=> (and true (not (= S_1068_58 null)) (= (typeof S_1068_58) T_java_lang_String) (not (= S_1068_13 null)) (= (typeof S_1068_13) T_java_lang_String) (< neg9223372036854775808 neg2147483648) (< neg2147483648 (- 1000000))) (=> (and (= loc_pre_71_29_13 loc_71_29_13) (= loc_71_29_13 (asField loc_71_29_13 T_int)) (= loc_pre_68_33_13 loc_68_33_13) (= loc_68_33_13 (asField loc_68_33_13 T_int)) (= VARDECLSTMT_pre_33_27_7 VARDECLSTMT_33_27_7) (= true_term (is VARDECLSTMT_33_27_7 T_int)) (= name_pre_96_20_28 name_96_20_28) (= name_96_20_28 (asField name_96_20_28 T_javafe_ast_Name)) (< (fClosedTime name_96_20_28) alloc) true (= tag_pre_117_30_13 tag_117_30_13) (= tag_117_30_13 (asField tag_117_30_13 T_int)) (= label_pre_58_15_34 label_58_15_34) (= label_58_15_34 (asField label_58_15_34 T_javafe_ast_Identifier)) (< (fClosedTime label_58_15_34) alloc) true (= type_pre_87_32_32 type_87_32_32) (= type_87_32_32 (asField type_87_32_32 T_javafe_ast_TypeName)) (< (fClosedTime type_87_32_32) alloc) true (= locOp_pre_93_43_13 locOp_93_43_13) (= locOp_93_43_13 (asField locOp_93_43_13 T_int)) (= locOpenParen_pre_101_28_13 locOpenParen_101_28_13) (= locOpenParen_101_28_13 (asField locOpenParen_101_28_13 T_int)) (= name_pre_100_25_28 name_100_25_28) (= name_100_25_28 (asField name_100_25_28 T_javafe_ast_Name)) (< (fClosedTime name_100_25_28) alloc) true (= args_pre_70_51_31 args_70_51_31) (= args_70_51_31 (asField args_70_51_31 T_javafe_ast_ExprVec)) (< (fClosedTime args_70_51_31) alloc) true (= AND_pre_32_20_26 AND_32_20_26) (= true_term (is AND_32_20_26 T_int)) (= PARENEXPR_pre_33_54_7 PARENEXPR_33_54_7) (= true_term (is PARENEXPR_33_54_7 T_int)) (= loc_pre_55_20_13 loc_55_20_13) (= loc_55_20_13 (asField loc_55_20_13 T_int)) (= ASGURSHIFT_pre_32_48_26 ASGURSHIFT_32_48_26) (= true_term (is ASGURSHIFT_32_48_26 T_int)) (= id_pre_97_19_34 id_97_19_34) (= id_97_19_34 (asField id_97_19_34 T_javafe_ast_Identifier)) (< (fClosedTime id_97_19_34) alloc) true (= noTokens_pre_119_212_27 noTokens_119_212_27) (= true_term (is noTokens_119_212_27 T_int)) (= count_pre_26_67_33 count_26_67_33) (= count_26_67_33 (asField count_26_67_33 T_int)) (= NULLLIT_pre_31_45_26 NULLLIT_31_45_26) (= true_term (is NULLLIT_31_45_26 T_int)) (= modifiers_pre_24_28_13 modifiers_24_28_13) (= modifiers_24_28_13 (asField modifiers_24_28_13 T_int)) (= locGuardOpenParen_pre_49_23_13 locGuardOpenParen_49_23_13) (= locGuardOpenParen_49_23_13 (asField locGuardOpenParen_49_23_13 T_int)) (= type_pre_91_18_28 type_91_18_28) (= type_91_18_28 (asField type_91_18_28 T_javafe_ast_Type)) (< (fClosedTime type_91_18_28) alloc) true (= SWITCHSTMT_pre_33_26_7 SWITCHSTMT_33_26_7) (= true_term (is SWITCHSTMT_33_26_7 T_int)) (= tmodifiers_pre_21_30_33 tmodifiers_21_30_33) (= tmodifiers_21_30_33 (asField tmodifiers_21_30_33 T_javafe_ast_TypeModifierPragmaVec)) (< (fClosedTime tmodifiers_21_30_33) alloc) (= OR_pre_32_19_26 OR_32_19_26) (= true_term (is OR_32_19_26 T_int)) (= specOnly_pre_24_26_17 specOnly_24_26_17) (= specOnly_24_26_17 (asField specOnly_24_26_17 T_boolean)) (= CASTEXPR_pre_33_53_7 CASTEXPR_33_53_7) (= true_term (is CASTEXPR_33_53_7 T_int)) (= pmodifiers_pre_76_26_27 pmodifiers_76_26_27) (= pmodifiers_76_26_27 (asField pmodifiers_76_26_27 T_javafe_ast_ModifierPragmaVec)) (< (fClosedTime pmodifiers_76_26_27) alloc) (= loc_pre_90_21_13 loc_90_21_13) (= loc_90_21_13 (asField loc_90_21_13 T_int)) (= ASGRSHIFT_pre_32_47_26 ASGRSHIFT_32_47_26) (= true_term (is ASGRSHIFT_32_47_26 T_int)) (= locCloseParen_pre_95_21_13 locCloseParen_95_21_13) (= locCloseParen_95_21_13 (asField locCloseParen_95_21_13 T_int)) (= count_pre_84_67_33 count_84_67_33) (= count_84_67_33 (asField count_84_67_33 T_int)) (= STRINGLIT_pre_31_44_26 STRINGLIT_31_44_26) (= true_term (is STRINGLIT_31_44_26 T_int)) (= hasParent_pre_25_149_30 hasParent_25_149_30) (= hasParent_25_149_30 (asField hasParent_25_149_30 T_boolean)) (= BLOCKSTMT_pre_33_25_7 BLOCKSTMT_33_25_7) (= true_term (is BLOCKSTMT_33_25_7 T_int)) (= modifiers_pre_76_24_13 modifiers_76_24_13) (= modifiers_76_24_13 (asField modifiers_76_24_13 T_int)) (= locFinally_pre_59_25_13 locFinally_59_25_13) (= locFinally_59_25_13 (asField locFinally_59_25_13 T_int)) (= locDot_pre_87_29_13 locDot_87_29_13) (= locDot_87_29_13 (asField locDot_87_29_13 T_int)) (= locDot_pre_92_23_13 locDot_92_23_13) (= locDot_92_23_13 (asField locDot_92_23_13 T_int)) (= locOpenParen_pre_70_48_13 locOpenParen_70_48_13) (= locOpenParen_70_48_13 (asField locOpenParen_70_48_13 T_int)) (= loc_pre_162_20_13 loc_162_20_13) (= loc_162_20_13 (asField loc_162_20_13 T_int)) (= syntax_pre_21_28_29 syntax_21_28_29) (= syntax_21_28_29 (asField syntax_21_28_29 T_boolean)) (= INSTANCEOFEXPR_pre_33_52_7 INSTANCEOFEXPR_33_52_7) (= true_term (is INSTANCEOFEXPR_33_52_7 T_int)) (= ASGLSHIFT_pre_32_46_26 ASGLSHIFT_32_46_26) (= true_term (is ASGLSHIFT_32_46_26 T_int)) (= implicit_pre_76_23_17 implicit_76_23_17) (= implicit_76_23_17 (asField implicit_76_23_17 T_boolean)) (= dims_pre_88_45_31 dims_88_45_31) (= dims_88_45_31 (asField dims_88_45_31 T_javafe_ast_ExprVec)) (< (fClosedTime dims_88_45_31) alloc) true (= count_pre_46_67_33 count_46_67_33) (= count_46_67_33 (asField count_46_67_33 T_int)) (= DOUBLELIT_pre_31_43_26 DOUBLELIT_31_43_26) (= true_term (is DOUBLELIT_31_43_26 T_int)) (= TYPEMODIFIERPRAGMA_pre_119_28_26 TYPEMODIFIERPRAGMA_119_28_26) (= true_term (is TYPEMODIFIERPRAGMA_119_28_26 T_int)) (= classPrefix_pre_71_25_14 classPrefix_71_25_14) (= classPrefix_71_25_14 (asField classPrefix_71_25_14 T_javafe_ast_Type)) (< (fClosedTime classPrefix_71_25_14) alloc) (= init_pre_73_20_17 init_73_20_17) (= init_73_20_17 (asField init_73_20_17 T_javafe_ast_VarInit)) (< (fClosedTime init_73_20_17) alloc) (= body_pre_68_30_28 body_68_30_28) (= body_68_30_28 (asField body_68_30_28 T_javafe_ast_Stmt)) (< (fClosedTime body_68_30_28) alloc) true (= FORMALPARADECL_pre_33_24_7 FORMALPARADECL_33_24_7) (= true_term (is FORMALPARADECL_33_24_7 T_int)) (= tag_pre_85_32_13 tag_85_32_13) (= tag_85_32_13 (asField tag_85_32_13 T_int)) (= elements_pre_82_61_47 elements_82_61_47) (= elements_82_61_47 (asField elements_82_61_47 (array T_javafe_ast_TypeModifierPragma))) (< (fClosedTime elements_82_61_47) alloc) true (= locId_pre_101_25_13 locId_101_25_13) (= locId_101_25_13 (asField locId_101_25_13 T_int)) (= parent_pre_76_21_18 parent_76_21_18) (= parent_76_21_18 (asField parent_76_21_18 T_javafe_ast_TypeDecl)) (< (fClosedTime parent_76_21_18) alloc) (= CONDEXPR_pre_33_51_7 CONDEXPR_33_51_7) (= true_term (is CONDEXPR_33_51_7 T_int)) (= ASGSUB_pre_32_45_26 ASGSUB_32_45_26) (= true_term (is ASGSUB_32_45_26 T_int)) (= stmt_pre_55_17_33 stmt_55_17_33) (= stmt_55_17_33 (asField stmt_55_17_33 T_javafe_ast_BlockStmt)) (< (fClosedTime stmt_55_17_33) alloc) true (= parent_pre_73_18_18 parent_73_18_18) (= parent_73_18_18 (asField parent_73_18_18 T_javafe_ast_TypeDecl)) (< (fClosedTime parent_73_18_18) alloc) (= right_pre_93_40_28 right_93_40_28) (= right_93_40_28 (asField right_93_40_28 T_javafe_ast_Expr)) (< (fClosedTime right_93_40_28) alloc) true (= parent_pre_24_59_18 parent_24_59_18) (= parent_24_59_18 (asField parent_24_59_18 T_javafe_ast_TypeDecl)) (< (fClosedTime parent_24_59_18) alloc) (= FLOATLIT_pre_31_42_26 FLOATLIT_31_42_26) (= true_term (is FLOATLIT_31_42_26 T_int)) (= TYPEDECLELEMPRAGMA_pre_119_27_26 TYPEDECLELEMPRAGMA_119_27_26) (= true_term (is TYPEDECLELEMPRAGMA_119_27_26 T_int)) (= pkgName_pre_22_15_28 pkgName_22_15_28) (= pkgName_22_15_28 (asField pkgName_22_15_28 T_javafe_ast_Name)) (< (fClosedTime pkgName_22_15_28) alloc) true (= FIELDDECL_pre_33_23_7 FIELDDECL_33_23_7) (= true_term (is FIELDDECL_33_23_7 T_int)) (= loc_pre_6_30_13 loc_6_30_13) (= loc_6_30_13 (asField loc_6_30_13 T_int)) (= NEWARRAYEXPR_pre_33_50_7 NEWARRAYEXPR_33_50_7) (= true_term (is NEWARRAYEXPR_33_50_7 T_int)) (= elements_pre_17_61_39 elements_17_61_39) (= elements_17_61_39 (asField elements_17_61_39 (array T_javafe_ast_ImportDecl))) (< (fClosedTime elements_17_61_39) alloc) true (= loc_pre_85_50_13 loc_85_50_13) (= loc_85_50_13 (asField loc_85_50_13 T_int)) (= ASGADD_pre_32_44_26 ASGADD_32_44_26) (= true_term (is ASGADD_32_44_26 T_int)) (= type_pre_90_18_28 type_90_18_28) (= type_90_18_28 (asField type_90_18_28 T_javafe_ast_Type)) (< (fClosedTime type_90_18_28) alloc) true (= value_pre_29_91_31 value_29_91_31) (= value_29_91_31 (asField value_29_91_31 (array T_char))) (< (fClosedTime value_29_91_31) alloc) true (= CHARLIT_pre_31_41_26 CHARLIT_31_41_26) (= true_term (is CHARLIT_31_41_26 T_int)) (= STMTPRAGMA_pre_119_26_26 STMTPRAGMA_119_26_26) (= true_term (is STMTPRAGMA_119_26_26 T_int)) (= count_pre_61_67_33 count_61_67_33) (= count_61_67_33 (asField count_61_67_33 T_int)) (= expr_pre_91_15_28 expr_91_15_28) (= expr_91_15_28 (asField expr_91_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_91_15_28) alloc) true (= forUpdate_pre_68_28_31 forUpdate_68_28_31) (= forUpdate_68_28_31 (asField forUpdate_68_28_31 T_javafe_ast_ExprVec)) (< (fClosedTime forUpdate_68_28_31) alloc) true (= LOCALVARDECL_pre_33_22_7 LOCALVARDECL_33_22_7) (= true_term (is LOCALVARDECL_33_22_7 T_int)) (= elements_pre_155_61_39 elements_155_61_39) (= elements_155_61_39 (asField elements_155_61_39 (array T_javafe_ast_MethodDecl))) (< (fClosedTime elements_155_61_39) alloc) true (= locKeyword_pre_70_45_13 locKeyword_70_45_13) (= locKeyword_70_45_13 (asField locKeyword_70_45_13 T_int)) (= NEWINSTANCEEXPR_pre_33_49_7 NEWINSTANCEEXPR_33_49_7) (= true_term (is NEWINSTANCEEXPR_33_49_7 T_int)) (= count_pre_35_67_33 count_35_67_33) (= count_35_67_33 (asField count_35_67_33 T_int)) (= expr_pre_55_15_28 expr_55_15_28) (= expr_55_15_28 (asField expr_55_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_55_15_28) alloc) true (= ASGREM_pre_32_43_26 ASGREM_32_43_26) (= true_term (is ASGREM_32_43_26 T_int)) (= left_pre_93_38_28 left_93_38_28) (= left_93_38_28 (asField left_93_38_28 T_javafe_ast_Expr)) (< (fClosedTime left_93_38_28) alloc) true (= loc_pre_49_20_13 loc_49_20_13) (= loc_49_20_13 (asField loc_49_20_13 T_int)) (= loc_pre_59_22_13 loc_59_22_13) (= loc_59_22_13 (asField loc_59_22_13 T_int)) (= LONGLIT_pre_31_40_26 LONGLIT_31_40_26) (= true_term (is LONGLIT_31_40_26 T_int)) (= MODIFIERPRAGMA_pre_119_25_26 MODIFIERPRAGMA_119_25_26) (= true_term (is MODIFIERPRAGMA_119_25_26 T_int)) (= locCloseBracket_pre_86_23_13 locCloseBracket_86_23_13) (= locCloseBracket_86_23_13 (asField locCloseBracket_86_23_13 T_int)) (= enclosingInstance_pre_87_25_14 enclosingInstance_87_25_14) (= enclosingInstance_87_25_14 (asField enclosingInstance_87_25_14 T_javafe_ast_Expr)) (< (fClosedTime enclosingInstance_87_25_14) alloc) (= INITBLOCK_pre_33_21_7 INITBLOCK_33_21_7) (= true_term (is INITBLOCK_33_21_7 T_int)) (= locOpenParen_pre_95_18_13 locOpenParen_95_18_13) (= locOpenParen_95_18_13 (asField locOpenParen_95_18_13 T_int)) (= elements_pre_14_61_42 elements_14_61_42) (= elements_14_61_42 (asField elements_14_61_42 (array T_javafe_ast_LexicalPragma))) (< (fClosedTime elements_14_61_42) alloc) true (= count_pre_77_67_33 count_77_67_33) (= count_77_67_33 (asField count_77_67_33 T_int)) (= ARRAYREFEXPR_pre_33_48_7 ARRAYREFEXPR_33_48_7) (= true_term (is ARRAYREFEXPR_33_48_7 T_int)) (= ASGDIV_pre_32_42_26 ASGDIV_32_42_26) (= true_term (is ASGDIV_32_42_26 T_int)) (= type_pre_92_20_28 type_92_20_28) (= type_92_20_28 (asField type_92_20_28 T_javafe_ast_Type)) (< (fClosedTime type_92_20_28) alloc) true (= INTLIT_pre_31_39_26 INTLIT_31_39_26) (= true_term (is INTLIT_31_39_26 T_int)) (= locCloseBrace_pre_24_54_13 locCloseBrace_24_54_13) (= locCloseBrace_24_54_13 (asField locCloseBrace_24_54_13 T_int)) (= LEXICALPRAGMA_pre_119_24_26 LEXICALPRAGMA_119_24_26) (= true_term (is LEXICALPRAGMA_119_24_26 T_int)) (= id_pre_101_20_34 id_101_20_34) (= id_101_20_34 (asField id_101_20_34 T_javafe_ast_Identifier)) (< (fClosedTime id_101_20_34) alloc) true (= test_pre_68_26_28 test_68_26_28) (= test_68_26_28 (asField test_68_26_28 T_javafe_ast_Expr)) (< (fClosedTime test_68_26_28) alloc) true (= displayInferred_pre_4_73_26 displayInferred_4_73_26) (= true_term (is displayInferred_4_73_26 T_boolean)) (= METHODDECL_pre_33_20_7 METHODDECL_33_20_7) (= true_term (is METHODDECL_33_20_7 T_int)) (= otherCodes_pre_119_202_27 otherCodes_119_202_27) (= true_term (is otherCodes_119_202_27 ?v_0)) (= true_term (isAllocated otherCodes_119_202_27 alloc)) (= count_pre_143_67_33 count_143_67_33) (= count_143_67_33 (asField count_143_67_33 T_int)) (= elements_pre_23_61_37 elements_23_61_37) (= elements_23_61_37 (asField elements_23_61_37 (array T_javafe_ast_TypeDecl))) (< (fClosedTime elements_23_61_37) alloc) true (= THISEXPR_pre_33_47_7 THISEXPR_33_47_7) (= true_term (is THISEXPR_33_47_7 T_int)) (= ASGMUL_pre_32_41_26 ASGMUL_32_41_26) (= true_term (is ASGMUL_32_41_26 T_int)) (= value_pre_85_45_16 value_85_45_16) (= value_85_45_16 (asField value_85_45_16 T_java_lang_Object)) (< (fClosedTime value_85_45_16) alloc) (= elems_pre_6_27_35 elems_6_27_35) (= elems_6_27_35 (asField elems_6_27_35 T_javafe_ast_TypeDeclVec)) (< (fClosedTime elems_6_27_35) alloc) true (= op_pre_93_35_13 op_93_35_13) (= op_93_35_13 (asField op_93_35_13 T_int)) (= parent_pre_79_18_18 parent_79_18_18) (= parent_79_18_18 (asField parent_79_18_18 T_javafe_ast_TypeDecl)) (< (fClosedTime parent_79_18_18) alloc) (= loc_pre_60_23_13 loc_60_23_13) (= loc_60_23_13 (asField loc_60_23_13 T_int)) (= BOOLEANLIT_pre_31_38_26 BOOLEANLIT_31_38_26) (= true_term (is BOOLEANLIT_31_38_26 T_int)) (= CONSTRUCTORDECL_pre_33_19_7 CONSTRUCTORDECL_33_19_7) (= true_term (is CONSTRUCTORDECL_33_19_7 T_int)) (= loc_pre_51_22_13 loc_51_22_13) (= loc_51_22_13 (asField loc_51_22_13 T_int)) (= locOpenBracket_pre_81_21_13 locOpenBracket_81_21_13) (= locOpenBracket_81_21_13 (asField locOpenBracket_81_21_13 T_int)) (= FIRST_KEYWORD_pre_119_51_26 FIRST_KEYWORD_119_51_26) (= true_term (is FIRST_KEYWORD_119_51_26 T_int)) (= ARRAYINIT_pre_33_46_7 ARRAYINIT_33_46_7) (= true_term (is ARRAYINIT_33_46_7 T_int)) (= ASSIGN_pre_32_40_26 ASSIGN_32_40_26) (= true_term (is ASSIGN_32_40_26 T_int)) (= expr_pre_90_15_28 expr_90_15_28) (= expr_90_15_28 (asField expr_90_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_90_15_28) alloc) true (= INDENT_pre_4_65_22 INDENT_4_65_22) (= true_term (is INDENT_4_65_22 T_int)) (= locDot_pre_70_41_13 locDot_70_41_13) (= locDot_70_41_13 (asField locDot_70_41_13 T_int)) (= ids_pre_163_19_37 ids_163_19_37) (= ids_163_19_37 (asField ids_163_19_37 T_javafe_ast_IdentifierVec)) (< (fClosedTime ids_163_19_37) alloc) true (= stmt_pre_49_17_28 stmt_49_17_28) (= stmt_49_17_28 (asField stmt_49_17_28 T_javafe_ast_Stmt)) (< (fClosedTime stmt_49_17_28) alloc) true (= finallyClause_pre_59_19_28 finallyClause_59_19_28) (= finallyClause_59_19_28 (asField finallyClause_59_19_28 T_javafe_ast_Stmt)) (< (fClosedTime finallyClause_59_19_28) alloc) true (= init_pre_88_35_19 init_88_35_19) (= init_88_35_19 (asField init_88_35_19 T_javafe_ast_ArrayInit)) (< (fClosedTime init_88_35_19) alloc) (= SHORTTYPE_pre_31_36_26 SHORTTYPE_31_36_26) (= true_term (is SHORTTYPE_31_36_26 T_int)) (= od_pre_101_18_40 od_101_18_40) (= od_101_18_40 (asField od_101_18_40 T_javafe_ast_ObjectDesignator)) (< (fClosedTime od_101_18_40) alloc) true (= forInit_pre_68_24_31 forInit_68_24_31) (= forInit_68_24_31 (asField forInit_68_24_31 T_javafe_ast_StmtVec)) (< (fClosedTime forInit_68_24_31) alloc) true (= decl_pre_98_28_19 decl_98_28_19) (= decl_98_28_19 (asField decl_98_28_19 T_javafe_ast_FieldDecl)) (< (fClosedTime decl_98_28_19) alloc) (= INTERFACEDECL_pre_33_18_7 INTERFACEDECL_33_18_7) (= true_term (is INTERFACEDECL_33_18_7 T_int)) (= expr_pre_95_15_28 expr_95_15_28) (= expr_95_15_28 (asField expr_95_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_95_15_28) alloc) true (= locOpenBracket_pre_86_20_13 locOpenBracket_86_20_13) (= locOpenBracket_86_20_13 (asField locOpenBracket_86_20_13 T_int)) (= CATCHCLAUSE_pre_33_45_7 CATCHCLAUSE_33_45_7) (= true_term (is CATCHCLAUSE_33_45_7 T_int)) (= STAR_pre_32_37_26 STAR_32_37_26) (= true_term (is STAR_32_37_26 T_int)) (= locOp_pre_94_32_13 locOp_94_32_13) (= locOp_94_32_13 (asField locOp_94_32_13 T_int)) (= length_pre_16_50_25 length_16_50_25) (= length_16_50_25 (asField length_16_50_25 T_int)) (= imports_pre_6_25_37 imports_6_25_37) (= imports_6_25_37 (asField imports_6_25_37 T_javafe_ast_ImportDeclVec)) (< (fClosedTime imports_6_25_37) alloc) true (= BYTETYPE_pre_31_35_26 BYTETYPE_31_35_26) (= true_term (is BYTETYPE_31_35_26 T_int)) (= locOpenBrace_pre_24_51_13 locOpenBrace_24_51_13) (= locOpenBrace_24_51_13 (asField locOpenBrace_24_51_13 T_int)) (= CLASSDECL_pre_33_17_7 CLASSDECL_33_17_7) (= true_term (is CLASSDECL_33_17_7 T_int)) (= CONSTRUCTORINVOCATION_pre_33_44_7 CONSTRUCTORINVOCATION_33_44_7) (= true_term (is CONSTRUCTORINVOCATION_33_44_7 T_int)) (= MOD_pre_32_36_26 MOD_32_36_26) (= true_term (is MOD_32_36_26 T_int)) (= TYPESIG_pre_118_6_28 TYPESIG_118_6_28) (= true_term (is TYPESIG_118_6_28 T_int)) (= expr_pre_49_15_28 expr_49_15_28) (= expr_49_15_28 (asField expr_49_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_49_15_28) alloc) true (= tryClause_pre_59_17_28 tryClause_59_17_28) (= tryClause_59_17_28 (asField tryClause_59_17_28 T_javafe_ast_Stmt)) (< (fClosedTime tryClause_59_17_28) alloc) true (= NULLTYPE_pre_31_34_26 NULLTYPE_31_34_26) (= true_term (is NULLTYPE_31_34_26 T_int)) (= count_pre_69_67_33 count_69_67_33) (= count_69_67_33 (asField count_69_67_33 T_int)) (= ONDEMANDIMPORTDECL_pre_33_16_7 ONDEMANDIMPORTDECL_33_16_7) (= true_term (is ONDEMANDIMPORTDECL_33_16_7 T_int)) (= els_pre_51_19_28 els_51_19_28) (= els_51_19_28 (asField els_51_19_28 T_javafe_ast_Stmt)) (< (fClosedTime els_51_19_28) alloc) true (= loc_pre_50_20_13 loc_50_20_13) (= loc_50_20_13 (asField loc_50_20_13 T_int)) (= count_pre_154_67_33 count_154_67_33) (= count_154_67_33 (asField count_154_67_33 T_int)) (= locCloseBrace_pre_45_25_13 locCloseBrace_45_25_13) (= locCloseBrace_45_25_13 (asField locCloseBrace_45_25_13 T_int)) (= TRYCATCHSTMT_pre_33_43_7 TRYCATCHSTMT_33_43_7) (= true_term (is TRYCATCHSTMT_33_43_7 T_int)) (= locType_pre_75_21_13 locType_75_21_13) (= locType_75_21_13 (asField locType_75_21_13 T_int)) (= DIV_pre_32_35_26 DIV_32_35_26) (= true_term (is DIV_32_35_26 T_int)) (= loc_pre_44_18_13 loc_44_18_13) (= loc_44_18_13 (asField loc_44_18_13 T_int)) (= VOIDTYPE_pre_31_33_26 VOIDTYPE_31_33_26) (= true_term (is VOIDTYPE_31_33_26 T_int)) (= catchClauses_pre_60_20_38 catchClauses_60_20_38) (= catchClauses_60_20_38 (asField catchClauses_60_20_38 T_javafe_ast_CatchClauseVec)) (< (fClosedTime catchClauses_60_20_38) alloc) true (= SINGLETYPEIMPORTDECL_pre_33_15_7 SINGLETYPEIMPORTDECL_33_15_7) (= true_term (is SINGLETYPEIMPORTDECL_33_15_7 T_int)) (= lexicalPragmas_pre_6_23_26 lexicalPragmas_6_23_26) (= lexicalPragmas_6_23_26 (asField lexicalPragmas_6_23_26 T_javafe_ast_LexicalPragmaVec)) (< (fClosedTime lexicalPragmas_6_23_26) alloc) (= count_pre_38_67_33 count_38_67_33) (= count_38_67_33 (asField count_38_67_33 T_int)) (= loc_pre_40_18_13 loc_40_18_13) (= loc_40_18_13 (asField loc_40_18_13 T_int)) (= elements_pre_26_61_43 elements_26_61_43) (= elements_26_61_43 (asField elements_26_61_43 (array T_javafe_ast_ModifierPragma))) (< (fClosedTime elements_26_61_43) alloc) true (= TRYFINALLYSTMT_pre_33_42_7 TRYFINALLYSTMT_33_42_7) (= true_term (is TRYFINALLYSTMT_33_42_7 T_int)) (= index_pre_86_17_28 index_86_17_28) (= index_86_17_28 (asField index_86_17_28 T_javafe_ast_Expr)) (< (fClosedTime index_86_17_28) alloc) true (= SUB_pre_32_34_26 SUB_32_34_26) (= true_term (is SUB_32_34_26 T_int)) (= expr_pre_94_29_28 expr_94_29_28) (= expr_94_29_28 (asField expr_94_29_28 T_javafe_ast_Expr)) (< (fClosedTime expr_94_29_28) alloc) true (= locCloseBrace_pre_83_24_13 locCloseBrace_83_24_13) (= locCloseBrace_83_24_13 (asField locCloseBrace_83_24_13 T_int)) (= loc_pre_62_22_13 loc_62_22_13) (= loc_62_22_13 (asField loc_62_22_13 T_int)) (= locId_pre_98_24_13 locId_98_24_13) (= locId_98_24_13 (asField locId_98_24_13 T_int)) (= DOUBLETYPE_pre_31_32_26 DOUBLETYPE_31_32_26) (= true_term (is DOUBLETYPE_31_32_26 T_int)) (= MIN_VALUE_pre_105_39_30 MIN_VALUE_105_39_30) (= true_term (is MIN_VALUE_105_39_30 T_int)) (= COMPILATIONUNIT_pre_33_14_7 COMPILATIONUNIT_33_14_7) (= true_term (is COMPILATIONUNIT_33_14_7 T_int)) (= thn_pre_51_17_28 thn_51_17_28) (= thn_51_17_28 (asField thn_51_17_28 T_javafe_ast_Stmt)) (< (fClosedTime thn_51_17_28) alloc) true (= elemType_pre_81_18_28 elemType_81_18_28) (= elemType_81_18_28 (asField elemType_81_18_28 T_javafe_ast_Type)) (< (fClosedTime elemType_81_18_28) alloc) true (= pkgName_pre_6_21_14 pkgName_6_21_14) (= pkgName_6_21_14 (asField pkgName_6_21_14 T_javafe_ast_Name)) (< (fClosedTime pkgName_6_21_14) alloc) (= enclosingInstance_pre_70_37_14 enclosingInstance_70_37_14) (= enclosingInstance_70_37_14 (asField enclosingInstance_70_37_14 T_javafe_ast_Expr)) (< (fClosedTime enclosingInstance_70_37_14) alloc) (= locId_pre_24_48_13 locId_24_48_13) (= locId_24_48_13 (asField locId_24_48_13 T_int)) (= elements_pre_84_61_36 elements_84_61_36) (= elements_84_61_36 (asField elements_84_61_36 (array T_javafe_ast_VarInit))) (< (fClosedTime elements_84_61_36) alloc) true (= SWITCHLABEL_pre_33_41_7 SWITCHLABEL_33_41_7) (= true_term (is SWITCHLABEL_33_41_7 T_int)) (= ADD_pre_32_33_26 ADD_32_33_26) (= true_term (is ADD_32_33_26 T_int)) (= locDots_pre_163_31_29 locDots_163_31_29) (= locDots_163_31_29 (asField locDots_163_31_29 ?v_0)) (< (fClosedTime locDots_163_31_29) alloc) true (= typeName_pre_19_15_32 typeName_19_15_32) (= typeName_19_15_32 (asField typeName_19_15_32 T_javafe_ast_TypeName)) (< (fClosedTime typeName_19_15_32) alloc) true (= type_pre_88_24_28 type_88_24_28) (= type_88_24_28 (asField type_88_24_28 T_javafe_ast_Type)) (< (fClosedTime type_88_24_28) alloc) true (= type_pre_103_27_28 type_103_27_28) (= type_103_27_28 (asField type_103_27_28 T_javafe_ast_Type)) (< (fClosedTime type_103_27_28) alloc) true (= FLOATTYPE_pre_31_31_26 FLOATTYPE_31_31_26) (= true_term (is FLOATTYPE_31_31_26 T_int)) (= name_pre_20_18_28 name_20_18_28) (= name_20_18_28 (asField name_20_18_28 T_javafe_ast_Name)) (< (fClosedTime name_20_18_28) alloc) true (= locId_pre_76_43_13 locId_76_43_13) (= locId_76_43_13 (asField locId_76_43_13 T_int)) (= otherStrings_pre_119_193_30 otherStrings_119_193_30) (= true_term (is otherStrings_119_193_30 ?v_1)) (= true_term (isAllocated otherStrings_119_193_30 alloc)) (= stmt_pre_50_17_28 stmt_50_17_28) (= stmt_50_17_28 (asField stmt_50_17_28 T_javafe_ast_Stmt)) (< (fClosedTime stmt_50_17_28) alloc) true (= tryClause_pre_60_18_28 tryClause_60_18_28) (= tryClause_60_18_28 (asField tryClause_60_18_28 T_javafe_ast_Stmt)) (< (fClosedTime tryClause_60_18_28) alloc) true (= elements_pre_46_61_33 elements_46_61_33) (= elements_46_61_33 (asField elements_46_61_33 (array T_javafe_ast_Stmt))) (< (fClosedTime elements_46_61_33) alloc) true (= array_pre_86_15_28 array_86_15_28) (= array_86_15_28 (asField array_86_15_28 T_javafe_ast_Expr)) (< (fClosedTime array_86_15_28) alloc) true (= SKIPSTMT_pre_33_40_7 SKIPSTMT_33_40_7) (= true_term (is SKIPSTMT_33_40_7 T_int)) (= locOpenBrace_pre_45_22_13 locOpenBrace_45_22_13) (= locOpenBrace_45_22_13 (asField locOpenBrace_45_22_13 T_int)) (= op_pre_94_26_13 op_94_26_13) (= op_94_26_13 (asField op_94_26_13 T_int)) (= URSHIFT_pre_32_32_26 URSHIFT_32_32_26) (= true_term (is URSHIFT_32_32_26 T_int)) (= expr_pre_44_15_28 expr_44_15_28) (= expr_44_15_28 (asField expr_44_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_44_15_28) alloc) true (= locOpenBrackets_pre_88_65_29 locOpenBrackets_88_65_29) (= locOpenBrackets_88_65_29 (asField locOpenBrackets_88_65_29 ?v_0)) (< (fClosedTime locOpenBrackets_88_65_29) alloc) true (= COMPOUNDNAME_pre_33_67_7 COMPOUNDNAME_33_67_7) (= true_term (is COMPOUNDNAME_33_67_7 T_int)) (= CHARTYPE_pre_31_30_26 CHARTYPE_31_30_26) (= true_term (is CHARTYPE_31_30_26 T_int)) (= locId_pre_64_38_13 locId_64_38_13) (= locId_64_38_13 (asField locId_64_38_13 T_int)) (= expr_pre_51_15_28 expr_51_15_28) (= expr_51_15_28 (asField expr_51_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_51_15_28) alloc) true (= expr_pre_40_15_14 expr_40_15_14) (= expr_40_15_14 (asField expr_40_15_14 T_javafe_ast_Expr)) (< (fClosedTime expr_40_15_14) alloc) (= FORSTMT_pre_33_39_7 FORSTMT_33_39_7) (= true_term (is FORSTMT_33_39_7 T_int)) (= RSHIFT_pre_32_31_26 RSHIFT_32_31_26) (= true_term (is RSHIFT_32_31_26 T_int)) (= body_pre_62_19_33 body_62_19_33) (= body_62_19_33 (asField body_62_19_33 T_javafe_ast_BlockStmt)) (< (fClosedTime body_62_19_33) alloc) true (= locOpenBrace_pre_83_21_13 locOpenBrace_83_21_13) (= locOpenBrace_83_21_13 (asField locOpenBrace_83_21_13 T_int)) (= id_pre_98_21_34 id_98_21_34) (= id_98_21_34 (asField id_98_21_34 T_javafe_ast_Identifier)) (< (fClosedTime id_98_21_34) alloc) true (= block_pre_74_28_33 block_74_28_33) (= block_74_28_33 (asField block_74_28_33 T_javafe_ast_BlockStmt)) (< (fClosedTime block_74_28_33) alloc) true (= SIMPLENAME_pre_33_66_7 SIMPLENAME_33_66_7) (= true_term (is SIMPLENAME_33_66_7 T_int)) (= LONGTYPE_pre_31_29_26 LONGTYPE_31_29_26) (= true_term (is LONGTYPE_31_29_26 T_int)) (= expr_pre_50_15_28 expr_50_15_28) (= expr_50_15_28 (asField expr_50_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_50_15_28) alloc) true (= locColon_pre_89_25_13 locColon_89_25_13) (= locColon_89_25_13 (asField locColon_89_25_13 T_int)) (= superClass_pre_34_15_18 superClass_34_15_18) (= superClass_34_15_18 (asField superClass_34_15_18 T_javafe_ast_TypeName)) (< (fClosedTime superClass_34_15_18) alloc) (= returnType_pre_75_18_28 returnType_75_18_28) (= returnType_75_18_28 (asField returnType_75_18_28 T_javafe_ast_Type)) (< (fClosedTime returnType_75_18_28) alloc) true (= IFSTMT_pre_33_38_7 IFSTMT_33_38_7) (= true_term (is IFSTMT_33_38_7 T_int)) (= elements_pre_61_61_40 elements_61_61_40) (= elements_61_61_40 (asField elements_61_61_40 (array T_javafe_ast_CatchClause))) (< (fClosedTime elements_61_61_40) alloc) true (= LSHIFT_pre_32_30_26 LSHIFT_32_30_26) (= true_term (is LSHIFT_32_30_26 T_int)) (= self_pre_4_41_37 self_4_41_37) (= self_4_41_37 (asField self_4_41_37 T_javafe_ast_PrettyPrint)) (< (fClosedTime self_4_41_37) alloc) true (= loc_pre_24_45_13 loc_24_45_13) (= loc_24_45_13 (asField loc_24_45_13 T_int)) (= ARRAYTYPE_pre_33_65_7 ARRAYTYPE_33_65_7) (= true_term (is ARRAYTYPE_33_65_7 T_int)) (= INTTYPE_pre_31_28_26 INTTYPE_31_28_26) (= true_term (is INTTYPE_31_28_26 T_int)) (= POSTFIXDEC_pre_32_63_26 POSTFIXDEC_32_63_26) (= true_term (is POSTFIXDEC_32_63_26 T_int)) (= locOpenParen_pre_87_52_13 locOpenParen_87_52_13) (= locOpenParen_87_52_13 (asField locOpenParen_87_52_13 T_int)) (= elements_pre_35_61_37 elements_35_61_37) (= elements_35_61_37 (asField elements_35_61_37 (array T_javafe_ast_TypeName))) (< (fClosedTime elements_35_61_37) alloc) true (= loc_pre_76_40_13 loc_76_40_13) (= loc_76_40_13 (asField loc_76_40_13 T_int)) (= LABELSTMT_pre_33_37_7 LABELSTMT_33_37_7) (= true_term (is LABELSTMT_33_37_7 T_int)) (= stmts_pre_45_19_31 stmts_45_19_31) (= stmts_45_19_31 (asField stmts_45_19_31 T_javafe_ast_StmtVec)) (< (fClosedTime stmts_45_19_31) alloc) true (= LT_pre_32_29_26 LT_32_29_26) (= true_term (is LT_32_29_26 T_int)) (= arg_pre_62_17_38 arg_62_17_38) (= arg_62_17_38 (asField arg_62_17_38 T_javafe_ast_FormalParaDecl)) (< (fClosedTime arg_62_17_38) alloc) true (= od_pre_98_19_40 od_98_19_40) (= od_98_19_40 (asField od_98_19_40 T_javafe_ast_ObjectDesignator)) (< (fClosedTime od_98_19_40) alloc) true (= pmodifiers_pre_74_26_27 pmodifiers_74_26_27) (= pmodifiers_74_26_27 (asField pmodifiers_74_26_27 T_javafe_ast_ModifierPragmaVec)) (< (fClosedTime pmodifiers_74_26_27) alloc) (= elements_pre_77_61_43 elements_77_61_43) (= elements_77_61_43 (asField elements_77_61_43 (array T_javafe_ast_FormalParaDecl))) (< (fClosedTime elements_77_61_43) alloc) true (= TYPENAME_pre_33_64_7 TYPENAME_33_64_7) (= true_term (is TYPENAME_33_64_7 T_int)) (= BOOLEANTYPE_pre_31_27_26 BOOLEANTYPE_31_27_26) (= true_term (is BOOLEANTYPE_31_27_26 T_int)) (= POSTFIXINC_pre_32_62_26 POSTFIXINC_32_62_26) (= true_term (is POSTFIXINC_32_62_26 T_int)) (= type_pre_64_35_28 type_64_35_28) (= type_64_35_28 (asField type_64_35_28 T_javafe_ast_Type)) (< (fClosedTime type_64_35_28) alloc) true (= init_pre_67_19_17 init_67_19_17) (= init_67_19_17 (asField init_67_19_17 T_javafe_ast_VarInit)) (< (fClosedTime init_67_19_17) alloc) (= modifiers_pre_74_24_13 modifiers_74_24_13) (= modifiers_74_24_13 (asField modifiers_74_24_13 T_int)) (= CONTINUESTMT_pre_33_36_7 CONTINUESTMT_33_36_7) (= true_term (is CONTINUESTMT_33_36_7 T_int)) (= LE_pre_32_28_26 LE_32_28_26) (= true_term (is LE_32_28_26 T_int)) (= elems_pre_83_18_34 elems_83_18_34) (= elems_83_18_34 (asField elems_83_18_34 T_javafe_ast_VarInitVec)) (< (fClosedTime elems_83_18_34) alloc) true (= SUPEROBJECTDESIGNATOR_pre_33_63_7 SUPEROBJECTDESIGNATOR_33_63_7) (= true_term (is SUPEROBJECTDESIGNATOR_33_63_7 T_int)) (= parent_pre_74_22_18 parent_74_22_18) (= parent_74_22_18 (asField parent_74_22_18 T_javafe_ast_TypeDecl)) (< (fClosedTime parent_74_22_18) alloc) (= IDENT_pre_31_25_26 IDENT_31_25_26) (= true_term (is IDENT_31_25_26 T_int)) (= DEC_pre_32_59_26 DEC_32_59_26) (= true_term (is DEC_32_59_26 T_int)) (= elements_pre_143_61_39 elements_143_61_39) (= elements_143_61_39 (asField elements_143_61_39 (array T_javafe_ast_Identifier))) (< (fClosedTime elements_143_61_39) alloc) true (= count_pre_82_67_33 count_82_67_33) (= count_82_67_33 (asField count_82_67_33 T_int)) (= loc_pre_47_29_13 loc_47_29_13) (= loc_47_29_13 (asField loc_47_29_13 T_int)) (= tokenType_pre_37_90_8 tokenType_37_90_8) (= tokenType_37_90_8 (asField tokenType_37_90_8 T_int)) (= BREAKSTMT_pre_33_35_7 BREAKSTMT_33_35_7) (= true_term (is BREAKSTMT_33_35_7 T_int)) (= loc_pre_43_18_13 loc_43_18_13) (= loc_43_18_13 (asField loc_43_18_13 T_int)) (= locQuestion_pre_89_22_13 locQuestion_89_22_13) (= locQuestion_89_22_13 (asField locQuestion_89_22_13 T_int)) (= GT_pre_32_27_26 GT_32_27_26) (= true_term (is GT_32_27_26 T_int)) (= elems_pre_24_41_39 elems_24_41_39) (= elems_24_41_39 (asField elems_24_41_39 T_javafe_ast_TypeDeclElemVec)) (< (fClosedTime elems_24_41_39) alloc) true (= TYPEOBJECTDESIGNATOR_pre_33_62_7 TYPEOBJECTDESIGNATOR_33_62_7) (= true_term (is TYPEOBJECTDESIGNATOR_33_62_7 T_int)) (= INC_pre_32_58_26 INC_32_58_26) (= true_term (is INC_32_58_26 T_int)) (= loc_pre_87_49_13 loc_87_49_13) (= loc_87_49_13 (asField loc_87_49_13 T_int)) (= count_pre_17_67_33 count_17_67_33) (= count_17_67_33 (asField count_17_67_33 T_int)) (= id_pre_75_15_34 id_75_15_34) (= id_75_15_34 (asField id_75_15_34 T_javafe_ast_Identifier)) (< (fClosedTime id_75_15_34) alloc) true (= THROWSTMT_pre_33_34_7 THROWSTMT_33_34_7) (= true_term (is THROWSTMT_33_34_7 T_int)) (= superCall_pre_70_24_17 superCall_70_24_17) (= superCall_70_24_17 (asField superCall_70_24_17 T_boolean)) (= GE_pre_32_26_26 GE_32_26_26) (= true_term (is GE_32_26_26 T_int)) (= decl_pre_97_26_38 decl_97_26_38) (= decl_97_26_38 (asField decl_97_26_38 T_javafe_ast_GenericVarDecl)) (< (fClosedTime decl_97_26_38) alloc) true (= EXPROBJECTDESIGNATOR_pre_33_61_7 EXPROBJECTDESIGNATOR_33_61_7) (= true_term (is EXPROBJECTDESIGNATOR_33_61_7 T_int)) (= BITNOT_pre_32_57_26 BITNOT_32_57_26) (= true_term (is BITNOT_32_57_26 T_int)) (= count_pre_155_67_33 count_155_67_33) (= count_155_67_33 (asField count_155_67_33 T_int)) (= RETURNSTMT_pre_33_33_7 RETURNSTMT_33_33_7) (= true_term (is RETURNSTMT_33_33_7 T_int)) (= punctuationCodes_pre_119_164_19 punctuationCodes_119_164_19) (= true_term (is punctuationCodes_119_164_19 ?v_0)) (= true_term (isAllocated punctuationCodes_119_164_19 alloc)) (= count_pre_14_67_33 count_14_67_33) (= count_14_67_33 (asField count_14_67_33 T_int)) (= punctuationStrings_pre_119_134_22 punctuationStrings_119_134_22) (= true_term (is punctuationStrings_119_134_22 ?v_1)) (= true_term (isAllocated punctuationStrings_119_134_22 alloc)) (= EQ_pre_32_25_26 EQ_32_25_26) (= true_term (is EQ_32_25_26 T_int)) (= loc_pre_53_18_13 loc_53_18_13) (= loc_53_18_13 (asField loc_53_18_13 T_int)) (= locDot_pre_99_21_13 locDot_99_21_13) (= locDot_99_21_13 (asField locDot_99_21_13 T_int)) (= CLASSLITERAL_pre_33_60_7 CLASSLITERAL_33_60_7) (= true_term (is CLASSLITERAL_33_60_7 T_int)) (= locSuper_pre_161_20_13 locSuper_161_20_13) (= locSuper_161_20_13 (asField locSuper_161_20_13 T_int)) (= NOT_pre_32_56_26 NOT_32_56_26) (= true_term (is NOT_32_56_26 T_int)) (= loc_pre_160_16_13 loc_160_16_13) (= loc_160_16_13 (asField loc_160_16_13 T_int)) (= locCloseParen_pre_91_24_13 locCloseParen_91_24_13) (= locCloseParen_91_24_13 (asField locCloseParen_91_24_13 T_int)) (= args_pre_100_32_31 args_100_32_31) (= args_100_32_31 (asField args_100_32_31 T_javafe_ast_ExprVec)) (< (fClosedTime args_100_32_31) alloc) true (= decl_pre_101_34_20 decl_101_34_20) (= decl_101_34_20 (asField decl_101_34_20 T_javafe_ast_MethodDecl)) (< (fClosedTime decl_101_34_20) alloc) (= id_pre_64_32_34 id_64_32_34) (= id_64_32_34 (asField id_64_32_34 T_javafe_ast_Identifier)) (< (fClosedTime id_64_32_34) alloc) true (= expr_pre_47_26_14 expr_47_26_14) (= expr_47_26_14 (asField expr_47_26_14 T_javafe_ast_Expr)) (< (fClosedTime expr_47_26_14) alloc) (= decl_pre_66_15_36 decl_66_15_36) (= decl_66_15_36 (asField decl_66_15_36 T_javafe_ast_LocalVarDecl)) (< (fClosedTime decl_66_15_36) alloc) true (= locOpenParen_pre_55_23_13 locOpenParen_55_23_13) (= locOpenParen_55_23_13 (asField locOpenParen_55_23_13 T_int)) (= EVALSTMT_pre_33_32_7 EVALSTMT_33_32_7) (= true_term (is EVALSTMT_33_32_7 T_int)) (= elements_pre_69_61_33 elements_69_61_33) (= elements_69_61_33 (asField elements_69_61_33 (array T_javafe_ast_Expr))) (< (fClosedTime elements_69_61_33) alloc) true (= owner_pre_5_35_28 owner_5_35_28) (= owner_5_35_28 (asField owner_5_35_28 T_java_lang_Object)) (< (fClosedTime owner_5_35_28) alloc) (= expr_pre_43_15_28 expr_43_15_28) (= expr_43_15_28 (asField expr_43_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_43_15_28) alloc) true (= NE_pre_32_24_26 NE_32_24_26) (= true_term (is NE_32_24_26 T_int)) (= locId_pre_58_20_13 locId_58_20_13) (= locId_58_20_13 (asField locId_58_20_13 T_int)) (= elements_pre_154_61_38 elements_154_61_38) (= elements_154_61_38 (asField elements_154_61_38 (array T_javafe_ast_FieldDecl))) (< (fClosedTime elements_154_61_38) alloc) true (= METHODINVOCATION_pre_33_59_7 METHODINVOCATION_33_59_7) (= true_term (is METHODINVOCATION_33_59_7 T_int)) (= els_pre_89_19_28 els_89_19_28) (= els_89_19_28 (asField els_89_19_28 T_javafe_ast_Expr)) (< (fClosedTime els_89_19_28) alloc) true (= LAST_KEYWORD_pre_119_103_26 LAST_KEYWORD_119_103_26) (= true_term (is LAST_KEYWORD_119_103_26 T_int)) (= UNARYSUB_pre_32_55_26 UNARYSUB_32_55_26) (= true_term (is UNARYSUB_32_55_26 T_int)) (= MIN_VALUE_pre_107_38_29 MIN_VALUE_107_38_29) (= true_term (is MIN_VALUE_107_38_29 T_long)) (= anonDecl_pre_87_45_19 anonDecl_87_45_19) (= anonDecl_87_45_19 (asField anonDecl_87_45_19 T_javafe_ast_ClassDecl)) (< (fClosedTime anonDecl_87_45_19) alloc) (= count_pre_23_67_33 count_23_67_33) (= count_23_67_33 (asField count_23_67_33 T_int)) (= loc_pre_18_18_13 loc_18_18_13) (= loc_18_18_13 (asField loc_18_18_13 T_int)) (= superInterfaces_pre_24_34_35 superInterfaces_24_34_35) (= superInterfaces_24_34_35 (asField superInterfaces_24_34_35 T_javafe_ast_TypeNameVec)) (< (fClosedTime superInterfaces_24_34_35) alloc) true (= keywordStrings_pre_119_181_30 keywordStrings_119_181_30) (= true_term (is keywordStrings_119_181_30 ?v_1)) (= true_term (isAllocated keywordStrings_119_181_30 alloc)) (= locOpenBrace_pre_76_36_13 locOpenBrace_76_36_13) (= locOpenBrace_76_36_13 (asField locOpenBrace_76_36_13 T_int)) (= SYNCHRONIZESTMT_pre_33_31_7 SYNCHRONIZESTMT_33_31_7) (= true_term (is SYNCHRONIZESTMT_33_31_7 T_int)) (= NULL_pre_119_82_26 NULL_119_82_26) (= true_term (is NULL_119_82_26 T_int)) (= BITAND_pre_32_23_26 BITAND_32_23_26) (= true_term (is BITAND_32_23_26 T_int)) (= count_pre_29_99_33 count_29_99_33) (= count_29_99_33 (asField count_29_99_33 T_int)) (= elements_pre_38_61_41 elements_38_61_41) (= elements_38_61_41 (asField elements_38_61_41 (array T_javafe_ast_TypeDeclElem))) (< (fClosedTime elements_38_61_41) alloc) true (= body_pre_76_34_19 body_76_34_19) (= body_76_34_19 (asField body_76_34_19 T_javafe_ast_BlockStmt)) (< (fClosedTime body_76_34_19) alloc) (= AMBIGUOUSMETHODINVOCATION_pre_33_58_7 AMBIGUOUSMETHODINVOCATION_33_58_7) (= true_term (is AMBIGUOUSMETHODINVOCATION_33_58_7 T_int)) (= UNARYADD_pre_32_54_26 UNARYADD_32_54_26) (= true_term (is UNARYADD_32_54_26 T_int)) (= pmodifiers_pre_64_30_27 pmodifiers_64_30_27) (= pmodifiers_64_30_27 (asField pmodifiers_64_30_27 T_javafe_ast_ModifierPragmaVec)) (< (fClosedTime pmodifiers_64_30_27) alloc) (= locOpenParen_pre_100_30_13 locOpenParen_100_30_13) (= locOpenParen_100_30_13 (asField locOpenParen_100_30_13 T_int)) (= locFirstSemi_pre_68_36_13 locFirstSemi_68_36_13) (= locFirstSemi_68_36_13 (asField locFirstSemi_68_36_13 T_int)) (= loc_pre_117_35_13 loc_117_35_13) (= loc_117_35_13 (asField loc_117_35_13 T_int)) (= raises_pre_76_32_35 raises_76_32_35) (= raises_76_32_35 (asField raises_76_32_35 T_javafe_ast_TypeNameVec)) (< (fClosedTime raises_76_32_35) alloc) true (= inst_pre_4_29_44 inst_4_29_44) (= true_term (is inst_4_29_44 T_javafe_ast_PrettyPrint)) (= true_term (isAllocated inst_4_29_44 alloc)) (not (= inst_4_29_44 null)) (= DOSTMT_pre_33_30_7 DOSTMT_33_30_7) (= true_term (is DOSTMT_33_30_7 T_int)) (= expr_pre_57_15_28 expr_57_15_28) (= expr_57_15_28 (asField expr_57_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_57_15_28) alloc) true (= BITXOR_pre_32_22_26 BITXOR_32_22_26) (= true_term (is BITXOR_32_22_26 T_int)) (= modifiers_pre_64_28_13 modifiers_64_28_13) (= modifiers_64_28_13 (asField modifiers_64_28_13 T_int)) (= label_pre_53_15_20 label_53_15_20) (= label_53_15_20 (asField label_53_15_20 T_javafe_ast_Identifier)) (< (fClosedTime label_53_15_20) alloc) (= FIELDACCESS_pre_33_57_7 FIELDACCESS_33_57_7) (= true_term (is FIELDACCESS_33_57_7 T_int)) (= thn_pre_89_17_28 thn_89_17_28) (= thn_89_17_28 (asField thn_89_17_28 T_javafe_ast_Expr)) (< (fClosedTime thn_89_17_28) alloc) true (= ASGBITXOR_pre_32_51_26 ASGBITXOR_32_51_26) (= true_term (is ASGBITXOR_32_51_26 T_int)) (= loc_pre_97_22_13 loc_97_22_13) (= loc_97_22_13 (asField loc_97_22_13 T_int)) (= locIds_pre_163_25_29 locIds_163_25_29) (= locIds_163_25_29 (asField locIds_163_25_29 ?v_0)) (< (fClosedTime locIds_163_25_29) alloc) true (= id_pre_24_32_34 id_24_32_34) (= id_24_32_34 (asField id_24_32_34 T_javafe_ast_Identifier)) (< (fClosedTime id_24_32_34) alloc) true (= locOpenParen_pre_91_21_13 locOpenParen_91_21_13) (= locOpenParen_91_21_13 (asField locOpenParen_91_21_13 T_int)) (= WHILESTMT_pre_33_29_7 WHILESTMT_33_29_7) (= true_term (is WHILESTMT_33_29_7 T_int)) (= BITOR_pre_32_21_26 BITOR_32_21_26) (= true_term (is BITOR_32_21_26 T_int)) (= stmt_pre_58_17_28 stmt_58_17_28) (= stmt_58_17_28 (asField stmt_58_17_28 T_javafe_ast_Stmt)) (< (fClosedTime stmt_58_17_28) alloc) true (= args_pre_101_30_31 args_101_30_31) (= args_101_30_31 (asField args_101_30_31 T_javafe_ast_ExprVec)) (< (fClosedTime args_101_30_31) alloc) true (= args_pre_87_34_31 args_87_34_31) (= args_87_34_31 (asField args_87_34_31 T_javafe_ast_ExprVec)) (< (fClosedTime args_87_34_31) alloc) true (= VARIABLEACCESS_pre_33_56_7 VARIABLEACCESS_33_56_7) (= true_term (is VARIABLEACCESS_33_56_7 T_int)) (= NULL_pre_116_60_26 NULL_116_60_26) (= true_term (is NULL_116_60_26 T_int)) (= ASGBITOR_pre_32_50_26 ASGBITOR_32_50_26) (= true_term (is ASGBITOR_32_50_26 T_int)) (= inferred_pre_71_33_17 inferred_71_33_17) (= inferred_71_33_17 (asField inferred_71_33_17 T_boolean)) (= expr_pre_102_22_28 expr_102_22_28) (= expr_102_22_28 (asField expr_102_22_28 T_javafe_ast_Expr)) (< (fClosedTime expr_102_22_28) alloc) true (= decl_pre_65_15_33 decl_65_15_33) (= decl_65_15_33 (asField decl_65_15_33 T_javafe_ast_ClassDecl)) (< (fClosedTime decl_65_15_33) alloc) true (= args_pre_76_30_41 args_76_30_41) (= args_76_30_41 (asField args_76_30_41 T_javafe_ast_FormalParaDeclVec)) (< (fClosedTime args_76_30_41) alloc) true (= CLASSDECLSTMT_pre_33_28_7 CLASSDECLSTMT_33_28_7) (= true_term (is CLASSDECLSTMT_33_28_7 T_int)) (= test_pre_89_15_28 test_89_15_28) (= test_89_15_28 (asField test_89_15_28 T_javafe_ast_Expr)) (< (fClosedTime test_89_15_28) alloc) true (= AMBIGUOUSVARIABLEACCESS_pre_33_55_7 AMBIGUOUSVARIABLEACCESS_33_55_7) (= true_term (is AMBIGUOUSVARIABLEACCESS_33_55_7 T_int)) (= ASGBITAND_pre_32_49_26 ASGBITAND_32_49_26) (= true_term (is ASGBITAND_32_49_26 T_int)) (= loc_pre_88_49_13 loc_88_49_13) (= loc_88_49_13 (asField loc_88_49_13 T_int)) (= pmodifiers_pre_24_30_27 pmodifiers_24_30_27) (= pmodifiers_24_30_27 (asField pmodifiers_24_30_27 T_javafe_ast_ModifierPragmaVec)) (< (fClosedTime pmodifiers_24_30_27) alloc) (= elems_pre elems) (= elems (asElems elems)) (< (eClosedTime elems) alloc) (= LS (asLockSet LS)) (= alloc_pre alloc)) (not (and (= true_term (is this T_javafe_ast_StandardPrettyPrint)) (= true_term (isAllocated this alloc)) (not (= this null)) (= true_term (is o_1067_33 T_java_io_OutputStream)) (= true_term (isAllocated o_1067_33 alloc)) (= true_term (is lp_1067_50 T_javafe_ast_LexicalPragma)) (= true_term (isAllocated lp_1067_50 alloc)) ?v_6 ?v_2 (or ?v_8 (and ?v_2 ?v_11 ?v_3 ?v_12 ?v_13 (or (and ?v_7 (not (and ?v_6 (not (= s_1068_4_1068_4_4_381_50 null))))) (and ?v_7 ?v_14 (or ?v_8 (and ?v_2 ?v_15 ?v_16 ?v_9 ?v_17 (not (and ?v_6 ?v_10))))))) (and ?v_2 ?v_11 ?v_3 ?v_12 ?v_13 ?v_7 ?v_14 ?v_2 ?v_15 ?v_16 ?v_9 ?v_17 (= EC_1069_4_1069_4 ecReturn) (not (= ecReturn ecReturn))))))))))))))
+(check-sat)
+(exit)
diff --git a/test/regress/regress2/javafe.ast.WhileStmt.447_no_forall.smt2 b/test/regress/regress2/javafe.ast.WhileStmt.447_no_forall.smt2
new file mode 100644
index 000000000..534e8f404
--- /dev/null
+++ b/test/regress/regress2/javafe.ast.WhileStmt.447_no_forall.smt2
@@ -0,0 +1,664 @@
+(set-logic QF_UFLIA)
+(set-info :source | Simplify Theorem Prover Benchmark Suite |)
+(set-info :smt-lib-version 2.0)
+(set-info :category "industrial")
+(set-info :status unsat)
+(declare-fun true_term () Int)
+(declare-fun false_term () Int)
+(assert (= true_term 1))
+(assert (= false_term 0))
+(declare-fun S_select (Int Int) Int)
+(declare-fun S_store (Int Int Int) Int)
+(declare-fun PO_LT (Int Int) Int)
+(declare-fun T_java_lang_Object () Int)
+(assert (= (PO_LT T_java_lang_Object T_java_lang_Object) true_term))
+(declare-fun T_boolean () Int)
+(declare-fun T_char () Int)
+(declare-fun T_byte () Int)
+(declare-fun T_short () Int)
+(declare-fun T_int () Int)
+(declare-fun T_long () Int)
+(declare-fun T_float () Int)
+(declare-fun T_double () Int)
+(declare-fun asChild (Int Int) Int)
+(declare-fun classDown (Int Int) Int)
+(declare-fun T_java_lang_Cloneable () Int)
+(assert (= (PO_LT T_java_lang_Cloneable T_java_lang_Object) true_term))
+(declare-fun array (Int) Int)
+(declare-fun elemtype (Int) Int)
+(declare-fun is (Int Int) Int)
+(declare-fun cast (Int Int) Int)
+(assert true)
+(declare-fun intFirst () Int)
+(declare-fun intLast () Int)
+(declare-fun longFirst () Int)
+(declare-fun longLast () Int)
+(assert (< longFirst intFirst))
+(assert (< intFirst (- 1000000)))
+(assert (< 1000000 intLast))
+(assert (< intLast longLast))
+(declare-fun null () Int)
+(declare-fun typeof (Int) Int)
+(declare-fun asField (Int Int) Int)
+(declare-fun asElems (Int) Int)
+(declare-fun vAllocTime (Int) Int)
+(declare-fun isAllocated (Int Int) Int)
+(declare-fun fClosedTime (Int) Int)
+(declare-fun eClosedTime (Int) Int)
+(declare-fun asLockSet (Int) Int)
+(declare-fun max (Int) Int)
+(declare-fun lockLE (Int Int) Int)
+(declare-fun lockLT (Int Int) Int)
+(declare-fun arrayLength (Int) Int)
+(declare-fun arrayFresh (Int Int Int Int Int Int Int) Int)
+(declare-fun arrayShapeMore (Int Int) Int)
+(declare-fun arrayParent (Int) Int)
+(declare-fun arrayPosition (Int) Int)
+(declare-fun arrayShapeOne (Int) Int)
+(declare-fun arrayType () Int)
+(assert (= arrayType (asChild arrayType T_java_lang_Object)))
+(declare-fun isNewArray (Int) Int)
+(declare-fun boolAnd (Int Int) Int)
+(declare-fun boolEq (Int Int) Int)
+(declare-fun boolImplies (Int Int) Int)
+(declare-fun boolNE (Int Int) Int)
+(declare-fun boolNot (Int) Int)
+(declare-fun boolOr (Int Int) Int)
+(declare-fun integralEQ (Int Int) Int)
+(declare-fun stringCat (Int Int) Int)
+(declare-fun T_java_lang_String () Int)
+(declare-fun integralGE (Int Int) Int)
+(declare-fun integralGT (Int Int) Int)
+(declare-fun integralLE (Int Int) Int)
+(declare-fun integralLT (Int Int) Int)
+(declare-fun integralNE (Int Int) Int)
+(declare-fun refEQ (Int Int) Int)
+(declare-fun refNE (Int Int) Int)
+(declare-fun nonnullelements (Int Int) Int)
+(declare-fun classLiteral (Int) Int)
+(declare-fun T_java_lang_Class () Int)
+(declare-fun alloc () Int)
+(declare-fun integralAnd (Int Int) Int)
+(declare-fun integralOr (Int Int) Int)
+(declare-fun integralXor (Int Int) Int)
+(declare-fun intShiftL (Int Int) Int)
+(declare-fun longShiftL (Int Int) Int)
+(assert true)
+(declare-fun T_javafe_tc_MethodDeclVec () Int)
+(declare-fun T_javafe_ast_VarDeclStmt () Int)
+(declare-fun T_javafe_ast_Stmt () Int)
+(declare-fun T_javafe_ast_BinaryExpr () Int)
+(declare-fun T_javafe_ast_Expr () Int)
+(declare-fun T_java_lang_Comparable () Int)
+(declare-fun T_javafe_ast_BranchStmt () Int)
+(declare-fun T_javafe_ast_ClassLiteral () Int)
+(declare-fun T_javafe_ast_ConstructorDecl () Int)
+(declare-fun T_javafe_ast_RoutineDecl () Int)
+(declare-fun T_javafe_ast_ArrayRefExpr () Int)
+(declare-fun T_java_lang_Long () Int)
+(declare-fun T_java_lang_Number () Int)
+(declare-fun T_javafe_ast_AmbiguousMethodInvocation () Int)
+(declare-fun T_javafe_tc_TypeSig () Int)
+(declare-fun T_javafe_ast_Type () Int)
+(declare-fun T_javafe_ast_SimpleName () Int)
+(declare-fun T_javafe_ast_Name () Int)
+(declare-fun T_javafe_ast_WhileStmt () Int)
+(declare-fun T_javafe_ast_SwitchLabel () Int)
+(declare-fun T_javafe_ast_VariableAccess () Int)
+(declare-fun T_javafe_ast_DoStmt () Int)
+(declare-fun T_javafe_ast_VarInit () Int)
+(declare-fun T_javafe_tc_Env () Int)
+(declare-fun T_javafe_ast_ASTNode () Int)
+(declare-fun T_javafe_ast_OnDemandImportDecl () Int)
+(declare-fun T_javafe_ast_ImportDecl () Int)
+(declare-fun T_java_io_Serializable () Int)
+(declare-fun T_javafe_ast_ParenExpr () Int)
+(declare-fun T_javafe_ast_GenericVarDecl () Int)
+(declare-fun T_javafe_ast_ContinueStmt () Int)
+(declare-fun T_javafe_ast_InitBlock () Int)
+(declare-fun T_javafe_ast_TypeDeclElem () Int)
+(declare-fun T_javafe_ast_NewArrayExpr () Int)
+(declare-fun T_javafe_ast_TypeObjectDesignator () Int)
+(declare-fun T_javafe_ast_ObjectDesignator () Int)
+(declare-fun T_javafe_ast_Visitor () Int)
+(declare-fun T_java_lang_Exception () Int)
+(declare-fun T_java_lang_Throwable () Int)
+(declare-fun T_javafe_ast_ConstructorInvocation () Int)
+(declare-fun T_javafe_ast_ExprVec () Int)
+(declare-fun T_javafe_ast_GenericBlockStmt () Int)
+(declare-fun T_javafe_ast_TryCatchStmt () Int)
+(declare-fun T_javafe_ast_EvalStmt () Int)
+(declare-fun T_java_util_Map () Int)
+(declare-fun T_java_util_EscjavaKeyValue () Int)
+(declare-fun T_java_lang_Integer () Int)
+(declare-fun T_javafe_ast_FieldDecl () Int)
+(declare-fun T_javafe_ast_ClassDecl () Int)
+(declare-fun T_javafe_ast_TypeDecl () Int)
+(declare-fun T_javafe_ast_TypeName () Int)
+(declare-fun T_javafe_ast_ArrayInit () Int)
+(declare-fun T_javafe_ast_InstanceOfExpr () Int)
+(declare-fun T_javafe_ast_IfStmt () Int)
+(declare-fun T_javafe_ast_SwitchStmt () Int)
+(declare-fun T_javafe_ast_ThrowStmt () Int)
+(declare-fun T_javafe_ast_ASTDecoration () Int)
+(declare-fun T_javafe_ast_MethodInvocation () Int)
+(declare-fun T_javafe_ast_LiteralExpr () Int)
+(declare-fun T_javafe_ast_IdentifierVec () Int)
+(declare-fun T_javafe_util_Location () Int)
+(declare-fun T_javafe_ast_SkipStmt () Int)
+(declare-fun T_javafe_ast_AmbiguousVariableAccess () Int)
+(declare-fun T_javafe_ast_ClassDeclStmt () Int)
+(declare-fun T_java_lang_Double () Int)
+(declare-fun T_javafe_ast_UnaryExpr () Int)
+(declare-fun T_javafe_ast_SuperObjectDesignator () Int)
+(declare-fun T_java_lang_RuntimeException () Int)
+(declare-fun T_javafe_ast_BreakStmt () Int)
+(declare-fun T_javafe_ast_NewInstanceExpr () Int)
+(declare-fun T_javafe_ast_MethodDecl () Int)
+(declare-fun T_java_lang_Boolean () Int)
+(declare-fun T_javafe_ast_OperatorTags () Int)
+(declare-fun T_javafe_ast_GeneratedTags () Int)
+(declare-fun T_javafe_ast_Identifier () Int)
+(declare-fun T_javafe_ast_CompoundName () Int)
+(declare-fun T_javafe_ast_SynchronizeStmt () Int)
+(declare-fun T_javafe_ast_TryFinallyStmt () Int)
+(declare-fun T_javafe_ast_FieldAccess () Int)
+(declare-fun T_javafe_ast_LocalVarDecl () Int)
+(declare-fun T_javafe_ast_PrimitiveType () Int)
+(declare-fun T_javafe_ast_SingleTypeImportDecl () Int)
+(declare-fun T_javafe_ast_LabelStmt () Int)
+(declare-fun T_javafe_util_Assert () Int)
+(declare-fun T_javafe_ast_CondExpr () Int)
+(declare-fun T_javafe_ast_CompilationUnit () Int)
+(declare-fun T_java_lang_Float () Int)
+(declare-fun T_javafe_ast_VisitorArgResult () Int)
+(declare-fun T_javafe_ast_CatchClause () Int)
+(declare-fun T_javafe_parser_TagConstants () Int)
+(declare-fun T_javafe_ast_TagConstants () Int)
+(declare-fun T_javafe_ast_ExprObjectDesignator () Int)
+(declare-fun T_javafe_tc_TagConstants () Int)
+(declare-fun T_javafe_ast_BlockStmt () Int)
+(declare-fun T_javafe_ast_ReturnStmt () Int)
+(declare-fun T_javafe_tc_FieldDeclVec () Int)
+(declare-fun T_java_lang_IndexOutOfBoundsException () Int)
+(declare-fun T_java_util_Hashtable () Int)
+(declare-fun T_java_util_Dictionary () Int)
+(declare-fun T_javafe_ast_FormalParaDecl () Int)
+(declare-fun T_javafe_ast_ThisExpr () Int)
+(declare-fun T_javafe_ast_InterfaceDecl () Int)
+(declare-fun T_javafe_ast_ArrayType () Int)
+(declare-fun T_javafe_ast_CastExpr () Int)
+(declare-fun T_javafe_ast_ForStmt () Int)
+(declare-fun DIST_ZERO_1 () Int)
+(declare-fun T__TYPE () Int)
+(declare-fun VOIDTYPE_14_33_26 () Int)
+(declare-fun INSTANCEOFEXPR_16_52_7 () Int)
+(declare-fun AND_15_20_26 () Int)
+(declare-fun ASGURSHIFT_15_48_26 () Int)
+(declare-fun IFSTMT_16_38_7 () Int)
+(declare-fun URSHIFT_15_32_26 () Int)
+(declare-fun ARRAYTYPE_16_65_7 () Int)
+(declare-fun FORMALPARADECL_16_24_7 () Int)
+(declare-fun DOUBLETYPE_14_32_26 () Int)
+(declare-fun CONDEXPR_16_51_7 () Int)
+(declare-fun TYPEDECLELEMPRAGMA_30_27_26 () Int)
+(declare-fun OR_15_19_26 () Int)
+(declare-fun ASGRSHIFT_15_47_26 () Int)
+(declare-fun LABELSTMT_16_37_7 () Int)
+(declare-fun RSHIFT_15_31_26 () Int)
+(declare-fun TYPENAME_16_64_7 () Int)
+(declare-fun FIELDDECL_16_23_7 () Int)
+(declare-fun FLOATTYPE_14_31_26 () Int)
+(declare-fun NEWARRAYEXPR_16_50_7 () Int)
+(declare-fun ASGLSHIFT_15_46_26 () Int)
+(declare-fun CONTINUESTMT_16_36_7 () Int)
+(declare-fun STMTPRAGMA_30_26_26 () Int)
+(declare-fun LSHIFT_15_30_26 () Int)
+(declare-fun NULLLIT_14_45_26 () Int)
+(declare-fun SUPEROBJECTDESIGNATOR_16_63_7 () Int)
+(declare-fun LOCALVARDECL_16_22_7 () Int)
+(declare-fun punctuationStrings_30_134_22 () Int)
+(declare-fun POSTFIXDEC_15_63_26 () Int)
+(declare-fun CHARTYPE_14_30_26 () Int)
+(declare-fun NEWINSTANCEEXPR_16_49_7 () Int)
+(declare-fun ASGSUB_15_45_26 () Int)
+(declare-fun BREAKSTMT_16_35_7 () Int)
+(declare-fun otherStrings_30_193_30 () Int)
+(declare-fun LT_15_29_26 () Int)
+(declare-fun MODIFIERPRAGMA_30_25_26 () Int)
+(declare-fun STRINGLIT_14_44_26 () Int)
+(declare-fun TYPEOBJECTDESIGNATOR_16_62_7 () Int)
+(declare-fun INITBLOCK_16_21_7 () Int)
+(declare-fun noTokens_30_212_27 () Int)
+(declare-fun POSTFIXINC_15_62_26 () Int)
+(declare-fun LONGTYPE_14_29_26 () Int)
+(declare-fun ARRAYREFEXPR_16_48_7 () Int)
+(declare-fun ASGADD_15_44_26 () Int)
+(declare-fun THROWSTMT_16_34_7 () Int)
+(declare-fun TYPESIG_29_6_28 () Int)
+(declare-fun LE_15_28_26 () Int)
+(declare-fun DOUBLELIT_14_43_26 () Int)
+(declare-fun EXPROBJECTDESIGNATOR_16_61_7 () Int)
+(declare-fun METHODDECL_16_20_7 () Int)
+(declare-fun DEC_15_59_26 () Int)
+(declare-fun LEXICALPRAGMA_30_24_26 () Int)
+(declare-fun INTTYPE_14_28_26 () Int)
+(declare-fun THISEXPR_16_47_7 () Int)
+(declare-fun ASGREM_15_43_26 () Int)
+(declare-fun RETURNSTMT_16_33_7 () Int)
+(declare-fun LAST_KEYWORD_30_103_26 () Int)
+(declare-fun GT_15_27_26 () Int)
+(declare-fun FLOATLIT_14_42_26 () Int)
+(declare-fun CLASSLITERAL_16_60_7 () Int)
+(declare-fun CONSTRUCTORDECL_16_19_7 () Int)
+(declare-fun FIRST_KEYWORD_30_51_26 () Int)
+(declare-fun INC_15_58_26 () Int)
+(declare-fun BOOLEANTYPE_14_27_26 () Int)
+(declare-fun ARRAYINIT_16_46_7 () Int)
+(declare-fun ASGDIV_15_42_26 () Int)
+(declare-fun EVALSTMT_16_32_7 () Int)
+(declare-fun GE_15_26_26 () Int)
+(declare-fun CHARLIT_14_41_26 () Int)
+(declare-fun METHODINVOCATION_16_59_7 () Int)
+(declare-fun INTERFACEDECL_16_18_7 () Int)
+(declare-fun BITNOT_15_57_26 () Int)
+(declare-fun IDENT_14_25_26 () Int)
+(declare-fun CATCHCLAUSE_16_45_7 () Int)
+(declare-fun NULL_30_82_26 () Int)
+(declare-fun ASGMUL_15_41_26 () Int)
+(declare-fun SYNCHRONIZESTMT_16_31_7 () Int)
+(declare-fun EQ_15_25_26 () Int)
+(declare-fun LONGLIT_14_40_26 () Int)
+(declare-fun AMBIGUOUSMETHODINVOCATION_16_58_7 () Int)
+(declare-fun CLASSDECL_16_17_7 () Int)
+(declare-fun NOT_15_56_26 () Int)
+(declare-fun CONSTRUCTORINVOCATION_16_44_7 () Int)
+(declare-fun ASSIGN_15_40_26 () Int)
+(declare-fun DOSTMT_16_30_7 () Int)
+(declare-fun NE_15_24_26 () Int)
+(declare-fun INTLIT_14_39_26 () Int)
+(declare-fun FIELDACCESS_16_57_7 () Int)
+(declare-fun ONDEMANDIMPORTDECL_16_16_7 () Int)
+(declare-fun UNARYSUB_15_55_26 () Int)
+(declare-fun TRYCATCHSTMT_16_43_7 () Int)
+(declare-fun STAR_15_37_26 () Int)
+(declare-fun WHILESTMT_16_29_7 () Int)
+(declare-fun BITAND_15_23_26 () Int)
+(declare-fun BOOLEANLIT_14_38_26 () Int)
+(declare-fun VARIABLEACCESS_16_56_7 () Int)
+(declare-fun SINGLETYPEIMPORTDECL_16_15_7 () Int)
+(declare-fun UNARYADD_15_54_26 () Int)
+(declare-fun TRYFINALLYSTMT_16_42_7 () Int)
+(declare-fun MOD_15_36_26 () Int)
+(declare-fun otherCodes_30_202_27 () Int)
+(declare-fun CLASSDECLSTMT_16_28_7 () Int)
+(declare-fun BITXOR_15_22_26 () Int)
+(declare-fun SHORTTYPE_14_36_26 () Int)
+(declare-fun AMBIGUOUSVARIABLEACCESS_16_55_7 () Int)
+(declare-fun COMPILATIONUNIT_16_14_7 () Int)
+(declare-fun ASGBITXOR_15_51_26 () Int)
+(declare-fun punctuationCodes_30_164_19 () Int)
+(declare-fun NULL_13_60_26 () Int)
+(declare-fun SWITCHLABEL_16_41_7 () Int)
+(declare-fun DIV_15_35_26 () Int)
+(declare-fun VARDECLSTMT_16_27_7 () Int)
+(declare-fun BITOR_15_21_26 () Int)
+(declare-fun BYTETYPE_14_35_26 () Int)
+(declare-fun PARENEXPR_16_54_7 () Int)
+(declare-fun ASGBITOR_15_50_26 () Int)
+(declare-fun SKIPSTMT_16_40_7 () Int)
+(declare-fun SUB_15_34_26 () Int)
+(declare-fun COMPOUNDNAME_16_67_7 () Int)
+(declare-fun SWITCHSTMT_16_26_7 () Int)
+(declare-fun keywordStrings_30_181_30 () Int)
+(declare-fun NULLTYPE_14_34_26 () Int)
+(declare-fun CASTEXPR_16_53_7 () Int)
+(declare-fun ASGBITAND_15_49_26 () Int)
+(declare-fun FORSTMT_16_39_7 () Int)
+(declare-fun ADD_15_33_26 () Int)
+(declare-fun SIMPLENAME_16_66_7 () Int)
+(declare-fun BLOCKSTMT_16_25_7 () Int)
+(declare-fun TYPEMODIFIERPRAGMA_30_28_26 () Int)
+(assert (let ((?v_0 (array T_java_lang_String)) (?v_1 (array T_int))) (and (= (PO_LT T_javafe_tc_MethodDeclVec T_java_lang_Object) true_term) (= T_javafe_tc_MethodDeclVec (asChild T_javafe_tc_MethodDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_VarDeclStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_VarDeclStmt (asChild T_javafe_ast_VarDeclStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_BinaryExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_BinaryExpr (asChild T_javafe_ast_BinaryExpr T_javafe_ast_Expr)) (= (PO_LT T_java_lang_Comparable T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_BranchStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_BranchStmt (asChild T_javafe_ast_BranchStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ClassLiteral T_javafe_ast_Expr) true_term) (= T_javafe_ast_ClassLiteral (asChild T_javafe_ast_ClassLiteral T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ConstructorDecl T_javafe_ast_RoutineDecl) true_term) (= T_javafe_ast_ConstructorDecl (asChild T_javafe_ast_ConstructorDecl T_javafe_ast_RoutineDecl)) (= (PO_LT T_javafe_ast_ArrayRefExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ArrayRefExpr (asChild T_javafe_ast_ArrayRefExpr T_javafe_ast_Expr)) (= (PO_LT T_java_lang_Long T_java_lang_Number) true_term) (= T_java_lang_Long (asChild T_java_lang_Long T_java_lang_Number)) true (= (PO_LT T_java_lang_Long T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_AmbiguousMethodInvocation T_javafe_ast_Expr) true_term) (= T_javafe_ast_AmbiguousMethodInvocation (asChild T_javafe_ast_AmbiguousMethodInvocation T_javafe_ast_Expr)) (= (PO_LT T_javafe_tc_TypeSig T_javafe_ast_Type) true_term) (= T_javafe_tc_TypeSig (asChild T_javafe_tc_TypeSig T_javafe_ast_Type)) (= (PO_LT T_javafe_ast_SimpleName T_javafe_ast_Name) true_term) (= T_javafe_ast_SimpleName (asChild T_javafe_ast_SimpleName T_javafe_ast_Name)) (= (PO_LT T_javafe_ast_WhileStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_WhileStmt (asChild T_javafe_ast_WhileStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_SwitchLabel T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SwitchLabel (asChild T_javafe_ast_SwitchLabel T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_VariableAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_VariableAccess (asChild T_javafe_ast_VariableAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_DoStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_DoStmt (asChild T_javafe_ast_DoStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_Expr T_javafe_ast_VarInit) true_term) (= T_javafe_ast_Expr (asChild T_javafe_ast_Expr T_javafe_ast_VarInit)) (= (PO_LT T_javafe_tc_Env T_java_lang_Object) true_term) (= T_javafe_tc_Env (asChild T_javafe_tc_Env T_java_lang_Object)) (= (PO_LT T_javafe_ast_VarInit T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_VarInit (asChild T_javafe_ast_VarInit T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_OnDemandImportDecl T_javafe_ast_ImportDecl) true_term) (= T_javafe_ast_OnDemandImportDecl (asChild T_javafe_ast_OnDemandImportDecl T_javafe_ast_ImportDecl)) (= (PO_LT T_java_lang_String T_java_lang_Object) true_term) (= T_java_lang_String (asChild T_java_lang_String T_java_lang_Object)) true (= (PO_LT T_java_lang_String T_java_io_Serializable) true_term) (= (PO_LT T_java_lang_String T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_ParenExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ParenExpr (asChild T_javafe_ast_ParenExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_GenericVarDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_GenericVarDecl (asChild T_javafe_ast_GenericVarDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_ContinueStmt T_javafe_ast_BranchStmt) true_term) (= T_javafe_ast_ContinueStmt (asChild T_javafe_ast_ContinueStmt T_javafe_ast_BranchStmt)) (= (PO_LT T_javafe_ast_Type T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Type (asChild T_javafe_ast_Type T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_InitBlock T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_InitBlock (asChild T_javafe_ast_InitBlock T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_InitBlock T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_NewArrayExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_NewArrayExpr (asChild T_javafe_ast_NewArrayExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_TypeObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_TypeObjectDesignator (asChild T_javafe_ast_TypeObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_javafe_ast_Visitor T_java_lang_Object) true_term) (= T_javafe_ast_Visitor (asChild T_javafe_ast_Visitor T_java_lang_Object)) (= (PO_LT T_java_lang_Exception T_java_lang_Throwable) true_term) (= T_java_lang_Exception (asChild T_java_lang_Exception T_java_lang_Throwable)) (= (PO_LT T_javafe_ast_ConstructorInvocation T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ConstructorInvocation (asChild T_javafe_ast_ConstructorInvocation T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ExprVec T_java_lang_Object) true_term) (= T_javafe_ast_ExprVec (asChild T_javafe_ast_ExprVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_GenericBlockStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_GenericBlockStmt (asChild T_javafe_ast_GenericBlockStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_TryCatchStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_TryCatchStmt (asChild T_javafe_ast_TryCatchStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_EvalStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_EvalStmt (asChild T_javafe_ast_EvalStmt T_javafe_ast_Stmt)) (= (PO_LT T_java_util_Map T_java_lang_Object) true_term) (= (PO_LT T_java_util_Map T_java_util_EscjavaKeyValue) true_term) (= (PO_LT T_java_lang_Integer T_java_lang_Number) true_term) (= T_java_lang_Integer (asChild T_java_lang_Integer T_java_lang_Number)) true (= (PO_LT T_java_lang_Integer T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_FieldDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_FieldDecl (asChild T_javafe_ast_FieldDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_ast_FieldDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_ClassDecl T_javafe_ast_TypeDecl) true_term) (= T_javafe_ast_ClassDecl (asChild T_javafe_ast_ClassDecl T_javafe_ast_TypeDecl)) (= (PO_LT T_javafe_ast_TypeName T_javafe_ast_Type) true_term) (= T_javafe_ast_TypeName (asChild T_javafe_ast_TypeName T_javafe_ast_Type)) (= (PO_LT T_javafe_ast_ArrayInit T_javafe_ast_VarInit) true_term) (= T_javafe_ast_ArrayInit (asChild T_javafe_ast_ArrayInit T_javafe_ast_VarInit)) (= (PO_LT T_javafe_ast_InstanceOfExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_InstanceOfExpr (asChild T_javafe_ast_InstanceOfExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_IfStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_IfStmt (asChild T_javafe_ast_IfStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ImportDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_ImportDecl (asChild T_javafe_ast_ImportDecl T_javafe_ast_ASTNode)) (= (PO_LT T_java_io_Serializable T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_SwitchStmt T_javafe_ast_GenericBlockStmt) true_term) (= T_javafe_ast_SwitchStmt (asChild T_javafe_ast_SwitchStmt T_javafe_ast_GenericBlockStmt)) (= (PO_LT T_javafe_ast_ObjectDesignator T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_ObjectDesignator (asChild T_javafe_ast_ObjectDesignator T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_ThrowStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ThrowStmt (asChild T_javafe_ast_ThrowStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ASTDecoration T_java_lang_Object) true_term) (= T_javafe_ast_ASTDecoration (asChild T_javafe_ast_ASTDecoration T_java_lang_Object)) (= (PO_LT T_java_lang_Number T_java_lang_Object) true_term) (= T_java_lang_Number (asChild T_java_lang_Number T_java_lang_Object)) (= (PO_LT T_java_lang_Number T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_MethodInvocation T_javafe_ast_Expr) true_term) (= T_javafe_ast_MethodInvocation (asChild T_javafe_ast_MethodInvocation T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_RoutineDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_RoutineDecl (asChild T_javafe_ast_RoutineDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_RoutineDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_LiteralExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_LiteralExpr (asChild T_javafe_ast_LiteralExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_IdentifierVec T_java_lang_Object) true_term) (= T_javafe_ast_IdentifierVec (asChild T_javafe_ast_IdentifierVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_TypeDeclElem T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_Name T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Name (asChild T_javafe_ast_Name T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_util_Location T_java_lang_Object) true_term) (= T_javafe_util_Location (asChild T_javafe_util_Location T_java_lang_Object)) (= (PO_LT T_javafe_ast_SkipStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SkipStmt (asChild T_javafe_ast_SkipStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_AmbiguousVariableAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_AmbiguousVariableAccess (asChild T_javafe_ast_AmbiguousVariableAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ClassDeclStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ClassDeclStmt (asChild T_javafe_ast_ClassDeclStmt T_javafe_ast_Stmt)) (= (PO_LT T_java_lang_Double T_java_lang_Number) true_term) (= T_java_lang_Double (asChild T_java_lang_Double T_java_lang_Number)) true (= (PO_LT T_java_lang_Double T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_UnaryExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_UnaryExpr (asChild T_javafe_ast_UnaryExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_SuperObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_SuperObjectDesignator (asChild T_javafe_ast_SuperObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_java_lang_RuntimeException T_java_lang_Exception) true_term) (= T_java_lang_RuntimeException (asChild T_java_lang_RuntimeException T_java_lang_Exception)) (= (PO_LT T_java_lang_Cloneable T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_BreakStmt T_javafe_ast_BranchStmt) true_term) (= T_javafe_ast_BreakStmt (asChild T_javafe_ast_BreakStmt T_javafe_ast_BranchStmt)) (= (PO_LT T_java_lang_Throwable T_java_lang_Object) true_term) (= T_java_lang_Throwable (asChild T_java_lang_Throwable T_java_lang_Object)) (= (PO_LT T_java_lang_Throwable T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_NewInstanceExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_NewInstanceExpr (asChild T_javafe_ast_NewInstanceExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_MethodDecl T_javafe_ast_RoutineDecl) true_term) (= T_javafe_ast_MethodDecl (asChild T_javafe_ast_MethodDecl T_javafe_ast_RoutineDecl)) (= (PO_LT T_java_lang_Boolean T_java_lang_Object) true_term) (= T_java_lang_Boolean (asChild T_java_lang_Boolean T_java_lang_Object)) true (= (PO_LT T_java_lang_Boolean T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_OperatorTags T_java_lang_Object) true_term) (= T_javafe_ast_OperatorTags (asChild T_javafe_ast_OperatorTags T_java_lang_Object)) (= (PO_LT T_javafe_ast_OperatorTags T_javafe_ast_GeneratedTags) true_term) (= (PO_LT T_javafe_ast_Identifier T_java_lang_Object) true_term) (= T_javafe_ast_Identifier (asChild T_javafe_ast_Identifier T_java_lang_Object)) true (= (PO_LT T_javafe_ast_Stmt T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Stmt (asChild T_javafe_ast_Stmt T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_CompoundName T_javafe_ast_Name) true_term) (= T_javafe_ast_CompoundName (asChild T_javafe_ast_CompoundName T_javafe_ast_Name)) (= (PO_LT T_javafe_ast_SynchronizeStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SynchronizeStmt (asChild T_javafe_ast_SynchronizeStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_TryFinallyStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_TryFinallyStmt (asChild T_javafe_ast_TryFinallyStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_FieldAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_FieldAccess (asChild T_javafe_ast_FieldAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ASTNode T_java_lang_Object) true_term) (= T_javafe_ast_ASTNode (asChild T_javafe_ast_ASTNode T_java_lang_Object)) (= (PO_LT T_javafe_ast_ASTNode T_java_lang_Cloneable) true_term) (= (PO_LT T_javafe_ast_LocalVarDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_LocalVarDecl (asChild T_javafe_ast_LocalVarDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_ast_TypeDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_TypeDecl (asChild T_javafe_ast_TypeDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_TypeDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_java_util_EscjavaKeyValue T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_GeneratedTags T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_PrimitiveType T_javafe_ast_Type) true_term) (= T_javafe_ast_PrimitiveType (asChild T_javafe_ast_PrimitiveType T_javafe_ast_Type)) (= (PO_LT T_javafe_ast_SingleTypeImportDecl T_javafe_ast_ImportDecl) true_term) (= T_javafe_ast_SingleTypeImportDecl (asChild T_javafe_ast_SingleTypeImportDecl T_javafe_ast_ImportDecl)) (= (PO_LT T_javafe_ast_LabelStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_LabelStmt (asChild T_javafe_ast_LabelStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_util_Assert T_java_lang_Object) true_term) (= T_javafe_util_Assert (asChild T_javafe_util_Assert T_java_lang_Object)) (= (PO_LT T_javafe_ast_CondExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_CondExpr (asChild T_javafe_ast_CondExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_CompilationUnit T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_CompilationUnit (asChild T_javafe_ast_CompilationUnit T_javafe_ast_ASTNode)) (= (PO_LT T_java_lang_Float T_java_lang_Number) true_term) (= T_java_lang_Float (asChild T_java_lang_Float T_java_lang_Number)) true (= (PO_LT T_java_lang_Float T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_VisitorArgResult T_java_lang_Object) true_term) (= T_javafe_ast_VisitorArgResult (asChild T_javafe_ast_VisitorArgResult T_java_lang_Object)) (= (PO_LT T_javafe_ast_CatchClause T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_CatchClause (asChild T_javafe_ast_CatchClause T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_parser_TagConstants T_javafe_ast_TagConstants) true_term) (= T_javafe_parser_TagConstants (asChild T_javafe_parser_TagConstants T_javafe_ast_TagConstants)) (= (PO_LT T_javafe_ast_ExprObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_ExprObjectDesignator (asChild T_javafe_ast_ExprObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_javafe_tc_TagConstants T_javafe_parser_TagConstants) true_term) (= T_javafe_tc_TagConstants (asChild T_javafe_tc_TagConstants T_javafe_parser_TagConstants)) (= (PO_LT T_javafe_ast_BlockStmt T_javafe_ast_GenericBlockStmt) true_term) (= T_javafe_ast_BlockStmt (asChild T_javafe_ast_BlockStmt T_javafe_ast_GenericBlockStmt)) (= (PO_LT T_javafe_ast_ReturnStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ReturnStmt (asChild T_javafe_ast_ReturnStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_tc_FieldDeclVec T_java_lang_Object) true_term) (= T_javafe_tc_FieldDeclVec (asChild T_javafe_tc_FieldDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_TagConstants T_javafe_ast_OperatorTags) true_term) (= T_javafe_ast_TagConstants (asChild T_javafe_ast_TagConstants T_javafe_ast_OperatorTags)) (= (PO_LT T_java_lang_IndexOutOfBoundsException T_java_lang_RuntimeException) true_term) (= T_java_lang_IndexOutOfBoundsException (asChild T_java_lang_IndexOutOfBoundsException T_java_lang_RuntimeException)) (= (PO_LT T_java_util_Hashtable T_java_util_Dictionary) true_term) (= T_java_util_Hashtable (asChild T_java_util_Hashtable T_java_util_Dictionary)) (= (PO_LT T_java_util_Hashtable T_java_util_Map) true_term) (= (PO_LT T_java_util_Hashtable T_java_lang_Cloneable) true_term) (= (PO_LT T_java_util_Hashtable T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_FormalParaDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_FormalParaDecl (asChild T_javafe_ast_FormalParaDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_ast_ThisExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ThisExpr (asChild T_javafe_ast_ThisExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_InterfaceDecl T_javafe_ast_TypeDecl) true_term) (= T_javafe_ast_InterfaceDecl (asChild T_javafe_ast_InterfaceDecl T_javafe_ast_TypeDecl)) (= (PO_LT T_javafe_ast_ArrayType T_javafe_ast_Type) true_term) (= T_javafe_ast_ArrayType (asChild T_javafe_ast_ArrayType T_javafe_ast_Type)) (= (PO_LT T_java_util_Dictionary T_java_lang_Object) true_term) (= T_java_util_Dictionary (asChild T_java_util_Dictionary T_java_lang_Object)) (= (PO_LT T_java_util_Dictionary T_java_util_EscjavaKeyValue) true_term) (= (PO_LT T_javafe_ast_CastExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_CastExpr (asChild T_javafe_ast_CastExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ForStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ForStmt (asChild T_javafe_ast_ForStmt T_javafe_ast_Stmt)) (and (= arrayType (+ DIST_ZERO_1 0)) (= T_boolean (+ DIST_ZERO_1 1)) (= T_char (+ DIST_ZERO_1 2)) (= T_byte (+ DIST_ZERO_1 3)) (= T_short (+ DIST_ZERO_1 4)) (= T_int (+ DIST_ZERO_1 5)) (= T_long (+ DIST_ZERO_1 6)) (= T_float (+ DIST_ZERO_1 7)) (= T_double (+ DIST_ZERO_1 8)) (= T__TYPE (+ DIST_ZERO_1 9)) (= T_javafe_tc_MethodDeclVec (+ DIST_ZERO_1 10)) (= T_javafe_ast_VarDeclStmt (+ DIST_ZERO_1 11)) (= T_javafe_ast_BinaryExpr (+ DIST_ZERO_1 12)) (= T_java_lang_Comparable (+ DIST_ZERO_1 13)) (= T_javafe_ast_BranchStmt (+ DIST_ZERO_1 14)) (= T_javafe_ast_ClassLiteral (+ DIST_ZERO_1 15)) (= T_javafe_ast_ConstructorDecl (+ DIST_ZERO_1 16)) (= T_javafe_ast_ArrayRefExpr (+ DIST_ZERO_1 17)) (= T_java_lang_Long (+ DIST_ZERO_1 18)) (= T_javafe_ast_AmbiguousMethodInvocation (+ DIST_ZERO_1 19)) (= T_javafe_tc_TypeSig (+ DIST_ZERO_1 20)) (= T_javafe_ast_SimpleName (+ DIST_ZERO_1 21)) (= T_javafe_ast_WhileStmt (+ DIST_ZERO_1 22)) (= T_javafe_ast_SwitchLabel (+ DIST_ZERO_1 23)) (= T_javafe_ast_VariableAccess (+ DIST_ZERO_1 24)) (= T_javafe_ast_DoStmt (+ DIST_ZERO_1 25)) (= T_javafe_ast_Expr (+ DIST_ZERO_1 26)) (= T_javafe_tc_Env (+ DIST_ZERO_1 27)) (= T_javafe_ast_VarInit (+ DIST_ZERO_1 28)) (= T_javafe_ast_OnDemandImportDecl (+ DIST_ZERO_1 29)) (= T_java_lang_String (+ DIST_ZERO_1 30)) (= T_javafe_ast_ParenExpr (+ DIST_ZERO_1 31)) (= T_javafe_ast_GenericVarDecl (+ DIST_ZERO_1 32)) (= T_javafe_ast_ContinueStmt (+ DIST_ZERO_1 33)) (= T_javafe_ast_Type (+ DIST_ZERO_1 34)) (= T_javafe_ast_InitBlock (+ DIST_ZERO_1 35)) (= T_javafe_ast_NewArrayExpr (+ DIST_ZERO_1 36)) (= T_javafe_ast_TypeObjectDesignator (+ DIST_ZERO_1 37)) (= T_javafe_ast_Visitor (+ DIST_ZERO_1 38)) (= T_java_lang_Exception (+ DIST_ZERO_1 39)) (= T_javafe_ast_ConstructorInvocation (+ DIST_ZERO_1 40)) (= T_javafe_ast_ExprVec (+ DIST_ZERO_1 41)) (= T_javafe_ast_GenericBlockStmt (+ DIST_ZERO_1 42)) (= T_javafe_ast_TryCatchStmt (+ DIST_ZERO_1 43)) (= T_javafe_ast_EvalStmt (+ DIST_ZERO_1 44)) (= T_java_util_Map (+ DIST_ZERO_1 45)) (= T_java_lang_Integer (+ DIST_ZERO_1 46)) (= T_javafe_ast_FieldDecl (+ DIST_ZERO_1 47)) (= T_javafe_ast_ClassDecl (+ DIST_ZERO_1 48)) (= T_javafe_ast_TypeName (+ DIST_ZERO_1 49)) (= T_javafe_ast_ArrayInit (+ DIST_ZERO_1 50)) (= T_javafe_ast_InstanceOfExpr (+ DIST_ZERO_1 51)) (= T_javafe_ast_IfStmt (+ DIST_ZERO_1 52)) (= T_javafe_ast_ImportDecl (+ DIST_ZERO_1 53)) (= T_java_lang_Object (+ DIST_ZERO_1 54)) (= T_java_io_Serializable (+ DIST_ZERO_1 55)) (= T_javafe_ast_SwitchStmt (+ DIST_ZERO_1 56)) (= T_javafe_ast_ObjectDesignator (+ DIST_ZERO_1 57)) (= T_javafe_ast_ThrowStmt (+ DIST_ZERO_1 58)) (= T_javafe_ast_ASTDecoration (+ DIST_ZERO_1 59)) (= T_java_lang_Number (+ DIST_ZERO_1 60)) (= T_javafe_ast_MethodInvocation (+ DIST_ZERO_1 61)) (= T_javafe_ast_RoutineDecl (+ DIST_ZERO_1 62)) (= T_javafe_ast_LiteralExpr (+ DIST_ZERO_1 63)) (= T_javafe_ast_IdentifierVec (+ DIST_ZERO_1 64)) (= T_javafe_ast_TypeDeclElem (+ DIST_ZERO_1 65)) (= T_javafe_ast_Name (+ DIST_ZERO_1 66)) (= T_javafe_util_Location (+ DIST_ZERO_1 67)) (= T_javafe_ast_SkipStmt (+ DIST_ZERO_1 68)) (= T_javafe_ast_AmbiguousVariableAccess (+ DIST_ZERO_1 69)) (= T_javafe_ast_ClassDeclStmt (+ DIST_ZERO_1 70)) (= T_java_lang_Double (+ DIST_ZERO_1 71)) (= T_javafe_ast_UnaryExpr (+ DIST_ZERO_1 72)) (= T_javafe_ast_SuperObjectDesignator (+ DIST_ZERO_1 73)) (= T_java_lang_RuntimeException (+ DIST_ZERO_1 74)) (= T_java_lang_Cloneable (+ DIST_ZERO_1 75)) (= T_javafe_ast_BreakStmt (+ DIST_ZERO_1 76)) (= T_java_lang_Throwable (+ DIST_ZERO_1 77)) (= T_javafe_ast_NewInstanceExpr (+ DIST_ZERO_1 78)) (= T_javafe_ast_MethodDecl (+ DIST_ZERO_1 79)) (= T_java_lang_Boolean (+ DIST_ZERO_1 80)) (= T_javafe_ast_OperatorTags (+ DIST_ZERO_1 81)) (= T_javafe_ast_Identifier (+ DIST_ZERO_1 82)) (= T_javafe_ast_Stmt (+ DIST_ZERO_1 83)) (= T_javafe_ast_CompoundName (+ DIST_ZERO_1 84)) (= T_javafe_ast_SynchronizeStmt (+ DIST_ZERO_1 85)) (= T_javafe_ast_TryFinallyStmt (+ DIST_ZERO_1 86)) (= T_javafe_ast_FieldAccess (+ DIST_ZERO_1 87)) (= T_javafe_ast_ASTNode (+ DIST_ZERO_1 88)) (= T_javafe_ast_LocalVarDecl (+ DIST_ZERO_1 89)) (= T_javafe_ast_TypeDecl (+ DIST_ZERO_1 90)) (= T_java_util_EscjavaKeyValue (+ DIST_ZERO_1 91)) (= T_javafe_ast_GeneratedTags (+ DIST_ZERO_1 92)) (= T_javafe_ast_PrimitiveType (+ DIST_ZERO_1 93)) (= T_javafe_ast_SingleTypeImportDecl (+ DIST_ZERO_1 94)) (= T_javafe_ast_LabelStmt (+ DIST_ZERO_1 95)) (= T_javafe_util_Assert (+ DIST_ZERO_1 96)) (= T_javafe_ast_CondExpr (+ DIST_ZERO_1 97)) (= T_javafe_ast_CompilationUnit (+ DIST_ZERO_1 98)) (= T_java_lang_Float (+ DIST_ZERO_1 99)) (= T_javafe_ast_VisitorArgResult (+ DIST_ZERO_1 100)) (= T_javafe_ast_CatchClause (+ DIST_ZERO_1 101)) (= T_javafe_parser_TagConstants (+ DIST_ZERO_1 102)) (= T_javafe_ast_ExprObjectDesignator (+ DIST_ZERO_1 103)) (= T_javafe_tc_TagConstants (+ DIST_ZERO_1 104)) (= T_javafe_ast_BlockStmt (+ DIST_ZERO_1 105)) (= T_javafe_ast_ReturnStmt (+ DIST_ZERO_1 106)) (= T_javafe_tc_FieldDeclVec (+ DIST_ZERO_1 107)) (= T_javafe_ast_TagConstants (+ DIST_ZERO_1 108)) (= T_java_lang_IndexOutOfBoundsException (+ DIST_ZERO_1 109)) (= T_java_util_Hashtable (+ DIST_ZERO_1 110)) (= T_javafe_ast_FormalParaDecl (+ DIST_ZERO_1 111)) (= T_javafe_ast_ThisExpr (+ DIST_ZERO_1 112)) (= T_javafe_ast_InterfaceDecl (+ DIST_ZERO_1 113)) (= T_javafe_ast_ArrayType (+ DIST_ZERO_1 114)) (= T_java_util_Dictionary (+ DIST_ZERO_1 115)) (= T_javafe_ast_CastExpr (+ DIST_ZERO_1 116)) (= T_javafe_ast_ForStmt (+ DIST_ZERO_1 117))) (= true_term (is VOIDTYPE_14_33_26 T_int)) (= VOIDTYPE_14_33_26 100) (= true_term (is INSTANCEOFEXPR_16_52_7 T_int)) (= INSTANCEOFEXPR_16_52_7 38) (= true_term (is AND_15_20_26 T_int)) (= AND_15_20_26 55) (= true_term (is ASGURSHIFT_15_48_26 T_int)) (= ASGURSHIFT_15_48_26 81) (= true_term (is IFSTMT_16_38_7 T_int)) (= IFSTMT_16_38_7 24) (= true_term (is URSHIFT_15_32_26 T_int)) (= URSHIFT_15_32_26 67) (= true_term (is ARRAYTYPE_16_65_7 T_int)) (= ARRAYTYPE_16_65_7 51) (= true_term (is FORMALPARADECL_16_24_7 T_int)) (= FORMALPARADECL_16_24_7 10) (= true_term (is DOUBLETYPE_14_32_26 T_int)) (= DOUBLETYPE_14_32_26 99) (= true_term (is CONDEXPR_16_51_7 T_int)) (= CONDEXPR_16_51_7 37) (= true_term (is TYPEDECLELEMPRAGMA_30_27_26 T_int)) (= TYPEDECLELEMPRAGMA_30_27_26 117) (= true_term (is OR_15_19_26 T_int)) (= OR_15_19_26 54) (= true_term (is ASGRSHIFT_15_47_26 T_int)) (= ASGRSHIFT_15_47_26 80) (= true_term (is LABELSTMT_16_37_7 T_int)) (= LABELSTMT_16_37_7 23) (= true_term (is RSHIFT_15_31_26 T_int)) (= RSHIFT_15_31_26 66) (= true_term (is TYPENAME_16_64_7 T_int)) (= TYPENAME_16_64_7 50) (= true_term (is FIELDDECL_16_23_7 T_int)) (= FIELDDECL_16_23_7 9) (= true_term (is FLOATTYPE_14_31_26 T_int)) (= FLOATTYPE_14_31_26 98) (= true_term (is NEWARRAYEXPR_16_50_7 T_int)) (= NEWARRAYEXPR_16_50_7 36) (= true_term (is ASGLSHIFT_15_46_26 T_int)) (= ASGLSHIFT_15_46_26 79) (= true_term (is CONTINUESTMT_16_36_7 T_int)) (= CONTINUESTMT_16_36_7 22) (= true_term (is STMTPRAGMA_30_26_26 T_int)) (= STMTPRAGMA_30_26_26 116) (= true_term (is LSHIFT_15_30_26 T_int)) (= LSHIFT_15_30_26 65) (= true_term (is NULLLIT_14_45_26 T_int)) (= NULLLIT_14_45_26 111) (= true_term (is SUPEROBJECTDESIGNATOR_16_63_7 T_int)) (= SUPEROBJECTDESIGNATOR_16_63_7 49) (= true_term (is LOCALVARDECL_16_22_7 T_int)) (= LOCALVARDECL_16_22_7 8) (= true_term (is punctuationStrings_30_134_22 ?v_0)) (not (= punctuationStrings_30_134_22 null)) (= (typeof punctuationStrings_30_134_22) ?v_0) (= (arrayLength punctuationStrings_30_134_22) 48) (= true_term (is POSTFIXDEC_15_63_26 T_int)) (= POSTFIXDEC_15_63_26 92) (= true_term (is CHARTYPE_14_30_26 T_int)) (= CHARTYPE_14_30_26 97) (= true_term (is NEWINSTANCEEXPR_16_49_7 T_int)) (= NEWINSTANCEEXPR_16_49_7 35) (= true_term (is ASGSUB_15_45_26 T_int)) (= ASGSUB_15_45_26 78) (= true_term (is BREAKSTMT_16_35_7 T_int)) (= BREAKSTMT_16_35_7 21) (= true_term (is otherStrings_30_193_30 ?v_0)) (not (= otherStrings_30_193_30 null)) (= (typeof otherStrings_30_193_30) ?v_0) (= (arrayLength otherStrings_30_193_30) 15) (= true_term (is LT_15_29_26 T_int)) (= LT_15_29_26 64) (= true_term (is MODIFIERPRAGMA_30_25_26 T_int)) (= MODIFIERPRAGMA_30_25_26 115) (= true_term (is STRINGLIT_14_44_26 T_int)) (= STRINGLIT_14_44_26 110) (= true_term (is TYPEOBJECTDESIGNATOR_16_62_7 T_int)) (= TYPEOBJECTDESIGNATOR_16_62_7 48) (= true_term (is INITBLOCK_16_21_7 T_int)) (= INITBLOCK_16_21_7 7) (= true_term (is noTokens_30_212_27 T_int)) (= true_term (is POSTFIXINC_15_62_26 T_int)) (= POSTFIXINC_15_62_26 91) (= true_term (is LONGTYPE_14_29_26 T_int)) (= LONGTYPE_14_29_26 96) (= true_term (is ARRAYREFEXPR_16_48_7 T_int)) (= ARRAYREFEXPR_16_48_7 34) (= true_term (is ASGADD_15_44_26 T_int)) (= ASGADD_15_44_26 77) (= true_term (is THROWSTMT_16_34_7 T_int)) (= THROWSTMT_16_34_7 20) (= true_term (is TYPESIG_29_6_28 T_int)) (= TYPESIG_29_6_28 184) (= true_term (is LE_15_28_26 T_int)) (= LE_15_28_26 63) (= true_term (is DOUBLELIT_14_43_26 T_int)) (= DOUBLELIT_14_43_26 109) (= true_term (is EXPROBJECTDESIGNATOR_16_61_7 T_int)) (= EXPROBJECTDESIGNATOR_16_61_7 47) (= true_term (is METHODDECL_16_20_7 T_int)) (= METHODDECL_16_20_7 6) (= true_term (is DEC_15_59_26 T_int)) (= DEC_15_59_26 90) (= true_term (is LEXICALPRAGMA_30_24_26 T_int)) (= LEXICALPRAGMA_30_24_26 114) (= true_term (is INTTYPE_14_28_26 T_int)) (= INTTYPE_14_28_26 95) (= true_term (is THISEXPR_16_47_7 T_int)) (= THISEXPR_16_47_7 33) (= true_term (is ASGREM_15_43_26 T_int)) (= ASGREM_15_43_26 76) (= true_term (is RETURNSTMT_16_33_7 T_int)) (= RETURNSTMT_16_33_7 19) (= true_term (is LAST_KEYWORD_30_103_26 T_int)) (= LAST_KEYWORD_30_103_26 183) (= true_term (is GT_15_27_26 T_int)) (= GT_15_27_26 62) (= true_term (is FLOATLIT_14_42_26 T_int)) (= FLOATLIT_14_42_26 108) (= true_term (is CLASSLITERAL_16_60_7 T_int)) (= CLASSLITERAL_16_60_7 46) (= true_term (is CONSTRUCTORDECL_16_19_7 T_int)) (= CONSTRUCTORDECL_16_19_7 5) (= true_term (is FIRST_KEYWORD_30_51_26 T_int)) (= FIRST_KEYWORD_30_51_26 133) (= true_term (is INC_15_58_26 T_int)) (= INC_15_58_26 89) (= true_term (is BOOLEANTYPE_14_27_26 T_int)) (= BOOLEANTYPE_14_27_26 94) (= true_term (is ARRAYINIT_16_46_7 T_int)) (= ARRAYINIT_16_46_7 32) (= true_term (is ASGDIV_15_42_26 T_int)) (= ASGDIV_15_42_26 75) (= true_term (is EVALSTMT_16_32_7 T_int)) (= EVALSTMT_16_32_7 18) (= true_term (is GE_15_26_26 T_int)) (= GE_15_26_26 61) (= true_term (is CHARLIT_14_41_26 T_int)) (= CHARLIT_14_41_26 107) (= true_term (is METHODINVOCATION_16_59_7 T_int)) (= METHODINVOCATION_16_59_7 45) (= true_term (is INTERFACEDECL_16_18_7 T_int)) (= INTERFACEDECL_16_18_7 4) (= true_term (is BITNOT_15_57_26 T_int)) (= BITNOT_15_57_26 88) (= true_term (is IDENT_14_25_26 T_int)) (= IDENT_14_25_26 93) (= true_term (is CATCHCLAUSE_16_45_7 T_int)) (= CATCHCLAUSE_16_45_7 31) (= true_term (is NULL_30_82_26 T_int)) (= NULL_30_82_26 163) (= true_term (is ASGMUL_15_41_26 T_int)) (= ASGMUL_15_41_26 74) (= true_term (is SYNCHRONIZESTMT_16_31_7 T_int)) (= SYNCHRONIZESTMT_16_31_7 17) (= true_term (is EQ_15_25_26 T_int)) (= EQ_15_25_26 60) (= true_term (is LONGLIT_14_40_26 T_int)) (= LONGLIT_14_40_26 106) (= true_term (is AMBIGUOUSMETHODINVOCATION_16_58_7 T_int)) (= AMBIGUOUSMETHODINVOCATION_16_58_7 44) (= true_term (is CLASSDECL_16_17_7 T_int)) (= CLASSDECL_16_17_7 3) (= true_term (is NOT_15_56_26 T_int)) (= NOT_15_56_26 87) (= true_term (is CONSTRUCTORINVOCATION_16_44_7 T_int)) (= CONSTRUCTORINVOCATION_16_44_7 30) (= true_term (is ASSIGN_15_40_26 T_int)) (= ASSIGN_15_40_26 73) (= true_term (is DOSTMT_16_30_7 T_int)) (= DOSTMT_16_30_7 16) (= true_term (is NE_15_24_26 T_int)) (= NE_15_24_26 59) (= true_term (is INTLIT_14_39_26 T_int)) (= INTLIT_14_39_26 105) (= true_term (is FIELDACCESS_16_57_7 T_int)) (= FIELDACCESS_16_57_7 43) (= true_term (is ONDEMANDIMPORTDECL_16_16_7 T_int)) (= ONDEMANDIMPORTDECL_16_16_7 2) (= true_term (is UNARYSUB_15_55_26 T_int)) (= UNARYSUB_15_55_26 86) (= true_term (is TRYCATCHSTMT_16_43_7 T_int)) (= TRYCATCHSTMT_16_43_7 29) (= true_term (is STAR_15_37_26 T_int)) (= STAR_15_37_26 72) (= true_term (is WHILESTMT_16_29_7 T_int)) (= WHILESTMT_16_29_7 15) (= true_term (is BITAND_15_23_26 T_int)) (= BITAND_15_23_26 58) (= true_term (is BOOLEANLIT_14_38_26 T_int)) (= BOOLEANLIT_14_38_26 104) (= true_term (is VARIABLEACCESS_16_56_7 T_int)) (= VARIABLEACCESS_16_56_7 42) (= true_term (is SINGLETYPEIMPORTDECL_16_15_7 T_int)) (= SINGLETYPEIMPORTDECL_16_15_7 1) (= true_term (is UNARYADD_15_54_26 T_int)) (= UNARYADD_15_54_26 85) (= true_term (is TRYFINALLYSTMT_16_42_7 T_int)) (= TRYFINALLYSTMT_16_42_7 28) (= true_term (is MOD_15_36_26 T_int)) (= MOD_15_36_26 71) (= true_term (is otherCodes_30_202_27 ?v_1)) (not (= otherCodes_30_202_27 null)) (= (typeof otherCodes_30_202_27) ?v_1) (= (arrayLength otherCodes_30_202_27) 15) (= true_term (is CLASSDECLSTMT_16_28_7 T_int)) (= CLASSDECLSTMT_16_28_7 14) (= true_term (is BITXOR_15_22_26 T_int)) (= BITXOR_15_22_26 57) (= true_term (is SHORTTYPE_14_36_26 T_int)) (= SHORTTYPE_14_36_26 103) (= true_term (is AMBIGUOUSVARIABLEACCESS_16_55_7 T_int)) (= AMBIGUOUSVARIABLEACCESS_16_55_7 41) (= true_term (is COMPILATIONUNIT_16_14_7 T_int)) (= COMPILATIONUNIT_16_14_7 0) (= true_term (is ASGBITXOR_15_51_26 T_int)) (= ASGBITXOR_15_51_26 84) (= true_term (is punctuationCodes_30_164_19 ?v_1)) (not (= punctuationCodes_30_164_19 null)) (= (typeof punctuationCodes_30_164_19) ?v_1) (= (arrayLength punctuationCodes_30_164_19) 48) (= true_term (is NULL_13_60_26 T_int)) (= NULL_13_60_26 0) (= true_term (is SWITCHLABEL_16_41_7 T_int)) (= SWITCHLABEL_16_41_7 27) (= true_term (is DIV_15_35_26 T_int)) (= DIV_15_35_26 70) (= true_term (is VARDECLSTMT_16_27_7 T_int)) (= VARDECLSTMT_16_27_7 13) (= true_term (is BITOR_15_21_26 T_int)) (= BITOR_15_21_26 56) (= true_term (is BYTETYPE_14_35_26 T_int)) (= BYTETYPE_14_35_26 102) (= true_term (is PARENEXPR_16_54_7 T_int)) (= PARENEXPR_16_54_7 40) (= true_term (is ASGBITOR_15_50_26 T_int)) (= ASGBITOR_15_50_26 83) (= true_term (is SKIPSTMT_16_40_7 T_int)) (= SKIPSTMT_16_40_7 26) (= true_term (is SUB_15_34_26 T_int)) (= SUB_15_34_26 69) (= true_term (is COMPOUNDNAME_16_67_7 T_int)) (= COMPOUNDNAME_16_67_7 53) (= true_term (is SWITCHSTMT_16_26_7 T_int)) (= SWITCHSTMT_16_26_7 12) (= true_term (is keywordStrings_30_181_30 ?v_0)) (not (= keywordStrings_30_181_30 null)) (= (typeof keywordStrings_30_181_30) ?v_0) (= (arrayLength keywordStrings_30_181_30) 51) (= true_term (is NULLTYPE_14_34_26 T_int)) (= NULLTYPE_14_34_26 101) (= true_term (is CASTEXPR_16_53_7 T_int)) (= CASTEXPR_16_53_7 39) (= true_term (is ASGBITAND_15_49_26 T_int)) (= ASGBITAND_15_49_26 82) (= true_term (is FORSTMT_16_39_7 T_int)) (= FORSTMT_16_39_7 25) (= true_term (is ADD_15_33_26 T_int)) (= ADD_15_33_26 68) (= true_term (is SIMPLENAME_16_66_7 T_int)) (= SIMPLENAME_16_66_7 52) (= true_term (is BLOCKSTMT_16_25_7 T_int)) (= BLOCKSTMT_16_25_7 11) (= true_term (is TYPEMODIFIERPRAGMA_30_28_26 T_int)) (= TYPEMODIFIERPRAGMA_30_28_26 118))))
+(declare-fun length_pre_81_50_25 () Int)
+(declare-fun length_81_50_25 () Int)
+(declare-fun count_pre_72_67_33 () Int)
+(declare-fun count_72_67_33 () Int)
+(declare-fun VOIDTYPE_pre_14_33_26 () Int)
+(declare-fun INSTANCEOFEXPR_pre_16_52_7 () Int)
+(declare-fun AND_pre_15_20_26 () Int)
+(declare-fun loc_pre_123_49_13 () Int)
+(declare-fun loc_123_49_13 () Int)
+(declare-fun ASGURSHIFT_pre_15_48_26 () Int)
+(declare-fun expr_pre_15_28 () Int)
+(declare-fun expr_15_28 () Int)
+(declare-fun IFSTMT_pre_16_38_7 () Int)
+(declare-fun type_pre_123_24_28 () Int)
+(declare-fun type_123_24_28 () Int)
+(declare-fun locId_pre_111_20_13 () Int)
+(declare-fun locId_111_20_13 () Int)
+(declare-fun URSHIFT_pre_15_32_26 () Int)
+(declare-fun tokenType_pre_78_90_8 () Int)
+(declare-fun tokenType_78_90_8 () Int)
+(declare-fun ARRAYTYPE_pre_16_65_7 () Int)
+(declare-fun FORMALPARADECL_pre_16_24_7 () Int)
+(declare-fun enclosingInstance_pre_122_25_14 () Int)
+(declare-fun enclosingInstance_122_25_14 () Int)
+(declare-fun loc_pre_122_49_13 () Int)
+(declare-fun loc_122_49_13 () Int)
+(declare-fun DOUBLETYPE_pre_14_32_26 () Int)
+(declare-fun CONDEXPR_pre_16_51_7 () Int)
+(declare-fun TYPEDECLELEMPRAGMA_pre_30_27_26 () Int)
+(declare-fun OR_pre_15_19_26 () Int)
+(declare-fun ASGRSHIFT_pre_15_47_26 () Int)
+(declare-fun locQuestion_pre_125_22_13 () Int)
+(declare-fun locQuestion_125_22_13 () Int)
+(declare-fun locIds_pre_141_25_29 () Int)
+(declare-fun locIds_141_25_29 () Int)
+(declare-fun locSuper_pre_137_20_13 () Int)
+(declare-fun locSuper_137_20_13 () Int)
+(declare-fun LABELSTMT_pre_16_37_7 () Int)
+(declare-fun locOpenBrackets_pre_123_65_29 () Int)
+(declare-fun locOpenBrackets_123_65_29 () Int)
+(declare-fun op_pre_26_35_13 () Int)
+(declare-fun op_26_35_13 () Int)
+(declare-fun locOpenBrace_pre_91_22_13 () Int)
+(declare-fun locOpenBrace_91_22_13 () Int)
+(declare-fun RSHIFT_pre_15_31_26 () Int)
+(declare-fun locOpenParen_pre_118_48_13 () Int)
+(declare-fun locOpenParen_118_48_13 () Int)
+(declare-fun TYPENAME_pre_16_64_7 () Int)
+(declare-fun FIELDDECL_pre_16_23_7 () Int)
+(declare-fun loc_pre_63_30_13 () Int)
+(declare-fun loc_63_30_13 () Int)
+(declare-fun loc_pre_113_33_13 () Int)
+(declare-fun loc_113_33_13 () Int)
+(declare-fun FLOATTYPE_pre_14_31_26 () Int)
+(declare-fun NEWARRAYEXPR_pre_16_50_7 () Int)
+(declare-fun ASGLSHIFT_pre_15_46_26 () Int)
+(declare-fun I_will_establish_invariants_afterwards_pre_5_236_34 () Int)
+(declare-fun I_will_establish_invariants_afterwards_5_236_34 () Int)
+(declare-fun CONTINUESTMT_pre_16_36_7 () Int)
+(declare-fun loc_pre_80_40_13 () Int)
+(declare-fun loc_80_40_13 () Int)
+(declare-fun STMTPRAGMA_pre_30_26_26 () Int)
+(declare-fun elements_pre_140_61_39 () Int)
+(declare-fun elements_140_61_39 () Int)
+(declare-fun loc_pre_116_22_13 () Int)
+(declare-fun loc_116_22_13 () Int)
+(declare-fun op_pre_27_26_13 () Int)
+(declare-fun op_27_26_13 () Int)
+(declare-fun locOpenParen_pre_131_30_13 () Int)
+(declare-fun locOpenParen_131_30_13 () Int)
+(declare-fun locGuardOpenParen_pre_23_13 () Int)
+(declare-fun locGuardOpenParen_23_13 () Int)
+(declare-fun LSHIFT_pre_15_30_26 () Int)
+(declare-fun locCloseBrace_pre_62_54_13 () Int)
+(declare-fun locCloseBrace_62_54_13 () Int)
+(declare-fun NULLLIT_pre_14_45_26 () Int)
+(declare-fun SUPEROBJECTDESIGNATOR_pre_16_63_7 () Int)
+(declare-fun loc_pre_83_29_13 () Int)
+(declare-fun loc_83_29_13 () Int)
+(declare-fun LOCALVARDECL_pre_16_22_7 () Int)
+(declare-fun loc_pre_107_18_13 () Int)
+(declare-fun loc_107_18_13 () Int)
+(declare-fun punctuationStrings_pre_30_134_22 () Int)
+(declare-fun POSTFIXDEC_pre_15_63_26 () Int)
+(declare-fun CHARTYPE_pre_14_30_26 () Int)
+(declare-fun NEWINSTANCEEXPR_pre_16_49_7 () Int)
+(declare-fun tag_pre_28_30_13 () Int)
+(declare-fun tag_28_30_13 () Int)
+(declare-fun loc_pre_117_23_13 () Int)
+(declare-fun loc_117_23_13 () Int)
+(declare-fun type_pre_133_20_28 () Int)
+(declare-fun type_133_20_28 () Int)
+(declare-fun dims_pre_123_45_31 () Int)
+(declare-fun dims_123_45_31 () Int)
+(declare-fun ASGSUB_pre_15_45_26 () Int)
+(declare-fun BREAKSTMT_pre_16_35_7 () Int)
+(declare-fun locDots_pre_141_31_29 () Int)
+(declare-fun locDots_141_31_29 () Int)
+(declare-fun otherStrings_pre_30_193_30 () Int)
+(declare-fun LT_pre_15_29_26 () Int)
+(declare-fun MODIFIERPRAGMA_pre_30_25_26 () Int)
+(declare-fun STRINGLIT_pre_14_44_26 () Int)
+(declare-fun TYPEOBJECTDESIGNATOR_pre_16_62_7 () Int)
+(declare-fun locDot_pre_84_21_13 () Int)
+(declare-fun locDot_84_21_13 () Int)
+(declare-fun INITBLOCK_pre_16_21_7 () Int)
+(declare-fun loc_pre_93_18_13 () Int)
+(declare-fun loc_93_18_13 () Int)
+(declare-fun locType_pre_79_21_13 () Int)
+(declare-fun locType_79_21_13 () Int)
+(declare-fun noTokens_pre_30_212_27 () Int)
+(declare-fun loc_pre_103_20_13 () Int)
+(declare-fun loc_103_20_13 () Int)
+(declare-fun POSTFIXINC_pre_15_62_26 () Int)
+(declare-fun LONGTYPE_pre_14_29_26 () Int)
+(declare-fun ARRAYREFEXPR_pre_16_48_7 () Int)
+(declare-fun ASGADD_pre_15_44_26 () Int)
+(declare-fun THROWSTMT_pre_16_34_7 () Int)
+(declare-fun count_pre_140_67_33 () Int)
+(declare-fun count_140_67_33 () Int)
+(declare-fun elements_pre_124_61_33 () Int)
+(declare-fun elements_124_61_33 () Int)
+(declare-fun TYPESIG_pre_29_6_28 () Int)
+(declare-fun LE_pre_15_28_26 () Int)
+(declare-fun DOUBLELIT_pre_14_43_26 () Int)
+(declare-fun EXPROBJECTDESIGNATOR_pre_16_61_7 () Int)
+(declare-fun locKeyword_pre_118_45_13 () Int)
+(declare-fun locKeyword_118_45_13 () Int)
+(declare-fun METHODDECL_pre_16_20_7 () Int)
+(declare-fun locCloseBracket_pre_121_23_13 () Int)
+(declare-fun locCloseBracket_121_23_13 () Int)
+(declare-fun syntax_pre_24_28_29 () Int)
+(declare-fun syntax_24_28_29 () Int)
+(declare-fun loc_pre_109_18_13 () Int)
+(declare-fun loc_109_18_13 () Int)
+(declare-fun DEC_pre_15_59_26 () Int)
+(declare-fun LEXICALPRAGMA_pre_30_24_26 () Int)
+(declare-fun INTTYPE_pre_14_28_26 () Int)
+(declare-fun THISEXPR_pre_16_47_7 () Int)
+(declare-fun loc_pre_100_18_13 () Int)
+(declare-fun loc_100_18_13 () Int)
+(declare-fun ASGREM_pre_15_43_26 () Int)
+(declare-fun RETURNSTMT_pre_16_33_7 () Int)
+(declare-fun LAST_KEYWORD_pre_30_103_26 () Int)
+(declare-fun GT_pre_15_27_26 () Int)
+(declare-fun locOpenParen_pre_132_28_13 () Int)
+(declare-fun locOpenParen_132_28_13 () Int)
+(declare-fun FLOATLIT_pre_14_42_26 () Int)
+(declare-fun CLASSLITERAL_pre_16_60_7 () Int)
+(declare-fun CONSTRUCTORDECL_pre_16_19_7 () Int)
+(declare-fun FIRST_KEYWORD_pre_30_51_26 () Int)
+(declare-fun INC_pre_15_58_26 () Int)
+(declare-fun superCall_pre_118_24_17 () Int)
+(declare-fun superCall_118_24_17 () Int)
+(declare-fun BOOLEANTYPE_pre_14_27_26 () Int)
+(declare-fun ARRAYINIT_pre_16_46_7 () Int)
+(declare-fun locCloseParen_pre_128_21_13 () Int)
+(declare-fun locCloseParen_128_21_13 () Int)
+(declare-fun owner_pre_8_35_28 () Int)
+(declare-fun owner_8_35_28 () Int)
+(declare-fun ASGDIV_pre_15_42_26 () Int)
+(declare-fun locOpenBrace_pre_62_51_13 () Int)
+(declare-fun locOpenBrace_62_51_13 () Int)
+(declare-fun locCloseParen_pre_127_24_13 () Int)
+(declare-fun locCloseParen_127_24_13 () Int)
+(declare-fun EVALSTMT_pre_16_32_7 () Int)
+(declare-fun count_pre_124_67_33 () Int)
+(declare-fun count_124_67_33 () Int)
+(declare-fun GE_pre_15_26_26 () Int)
+(declare-fun CHARLIT_pre_14_41_26 () Int)
+(declare-fun METHODINVOCATION_pre_16_59_7 () Int)
+(declare-fun INTERFACEDECL_pre_16_18_7 () Int)
+(declare-fun BITNOT_pre_15_57_26 () Int)
+(declare-fun IDENT_pre_14_25_26 () Int)
+(declare-fun CATCHCLAUSE_pre_16_45_7 () Int)
+(declare-fun loc_pre_115_29_13 () Int)
+(declare-fun loc_115_29_13 () Int)
+(declare-fun NULL_pre_30_82_26 () Int)
+(declare-fun ASGMUL_pre_15_41_26 () Int)
+(declare-fun SYNCHRONIZESTMT_pre_16_31_7 () Int)
+(declare-fun locOp_pre_26_43_13 () Int)
+(declare-fun locOp_26_43_13 () Int)
+(declare-fun loc_pre_20_13 () Int)
+(declare-fun loc_20_13 () Int)
+(declare-fun locCloseBrace_pre_120_24_13 () Int)
+(declare-fun locCloseBrace_120_24_13 () Int)
+(declare-fun EQ_pre_15_25_26 () Int)
+(declare-fun locId_pre_77_38_13 () Int)
+(declare-fun locId_77_38_13 () Int)
+(declare-fun LONGLIT_pre_14_40_26 () Int)
+(declare-fun AMBIGUOUSMETHODINVOCATION_pre_16_58_7 () Int)
+(declare-fun CLASSDECL_pre_16_17_7 () Int)
+(declare-fun loc_pre_112_22_13 () Int)
+(declare-fun loc_112_22_13 () Int)
+(declare-fun elements_pre_73_61_39 () Int)
+(declare-fun elements_73_61_39 () Int)
+(declare-fun NOT_pre_15_56_26 () Int)
+(declare-fun locDot_pre_118_41_13 () Int)
+(declare-fun locDot_118_41_13 () Int)
+(declare-fun CONSTRUCTORINVOCATION_pre_16_44_7 () Int)
+(declare-fun locOpenParen_pre_104_23_13 () Int)
+(declare-fun locOpenParen_104_23_13 () Int)
+(declare-fun locOpenBracket_pre_121_20_13 () Int)
+(declare-fun locOpenBracket_121_20_13 () Int)
+(declare-fun ASSIGN_pre_15_40_26 () Int)
+(declare-fun DOSTMT_pre_16_30_7 () Int)
+(declare-fun locOpenBrace_pre_80_36_13 () Int)
+(declare-fun locOpenBrace_80_36_13 () Int)
+(declare-fun NE_pre_15_24_26 () Int)
+(declare-fun INTLIT_pre_14_39_26 () Int)
+(declare-fun locId_pre_132_25_13 () Int)
+(declare-fun locId_132_25_13 () Int)
+(declare-fun FIELDACCESS_pre_16_57_7 () Int)
+(declare-fun locOpenBracket_pre_138_21_13 () Int)
+(declare-fun locOpenBracket_138_21_13 () Int)
+(declare-fun ONDEMANDIMPORTDECL_pre_16_16_7 () Int)
+(declare-fun init_pre_123_35_19 () Int)
+(declare-fun init_123_35_19 () Int)
+(declare-fun UNARYSUB_pre_15_55_26 () Int)
+(declare-fun returnType_pre_79_18_28 () Int)
+(declare-fun returnType_79_18_28 () Int)
+(declare-fun loc_pre_114_16_13 () Int)
+(declare-fun loc_114_16_13 () Int)
+(declare-fun loc_pre_129_22_13 () Int)
+(declare-fun loc_129_22_13 () Int)
+(declare-fun TRYCATCHSTMT_pre_16_43_7 () Int)
+(declare-fun body_pre_80_34_19 () Int)
+(declare-fun body_80_34_19 () Int)
+(declare-fun loc_pre_126_21_13 () Int)
+(declare-fun loc_126_21_13 () Int)
+(declare-fun STAR_pre_15_37_26 () Int)
+(declare-fun WHILESTMT_pre_16_29_7 () Int)
+(declare-fun loc_pre_139_20_13 () Int)
+(declare-fun loc_139_20_13 () Int)
+(declare-fun BITAND_pre_15_23_26 () Int)
+(declare-fun locOpenParen_pre_127_21_13 () Int)
+(declare-fun locOpenParen_127_21_13 () Int)
+(declare-fun BOOLEANLIT_pre_14_38_26 () Int)
+(declare-fun VARIABLEACCESS_pre_16_56_7 () Int)
+(declare-fun SINGLETYPEIMPORTDECL_pre_16_15_7 () Int)
+(declare-fun UNARYADD_pre_15_54_26 () Int)
+(declare-fun locId_pre_62_48_13 () Int)
+(declare-fun locId_62_48_13 () Int)
+(declare-fun TRYFINALLYSTMT_pre_16_42_7 () Int)
+(declare-fun count_pre_73_67_33 () Int)
+(declare-fun count_73_67_33 () Int)
+(declare-fun MOD_pre_15_36_26 () Int)
+(declare-fun otherCodes_pre_30_202_27 () Int)
+(declare-fun loc_pre_119_22_13 () Int)
+(declare-fun loc_119_22_13 () Int)
+(declare-fun loc_pre_25_50_13 () Int)
+(declare-fun loc_25_50_13 () Int)
+(declare-fun CLASSDECLSTMT_pre_16_28_7 () Int)
+(declare-fun locOpenBrace_pre_120_21_13 () Int)
+(declare-fun locOpenBrace_120_21_13 () Int)
+(declare-fun BITXOR_pre_15_22_26 () Int)
+(declare-fun locOpenParen_pre_128_18_13 () Int)
+(declare-fun locOpenParen_128_18_13 () Int)
+(declare-fun locDot_pre_122_29_13 () Int)
+(declare-fun locDot_122_29_13 () Int)
+(declare-fun SHORTTYPE_pre_14_36_26 () Int)
+(declare-fun AMBIGUOUSVARIABLEACCESS_pre_16_55_7 () Int)
+(declare-fun type_pre_77_35_28 () Int)
+(declare-fun type_77_35_28 () Int)
+(declare-fun COMPILATIONUNIT_pre_16_14_7 () Int)
+(declare-fun ASGBITXOR_pre_15_51_26 () Int)
+(declare-fun tag_pre_25_32_13 () Int)
+(declare-fun tag_25_32_13 () Int)
+(declare-fun punctuationCodes_pre_30_164_19 () Int)
+(declare-fun NULL_pre_13_60_26 () Int)
+(declare-fun SWITCHLABEL_pre_16_41_7 () Int)
+(declare-fun loc_pre_106_18_13 () Int)
+(declare-fun loc_106_18_13 () Int)
+(declare-fun locOp_pre_27_32_13 () Int)
+(declare-fun locOp_27_32_13 () Int)
+(declare-fun DIV_pre_15_35_26 () Int)
+(declare-fun VARDECLSTMT_pre_16_27_7 () Int)
+(declare-fun locOpenParen_pre_122_52_13 () Int)
+(declare-fun locOpenParen_122_52_13 () Int)
+(declare-fun locFirstSemi_pre_113_36_13 () Int)
+(declare-fun locFirstSemi_113_36_13 () Int)
+(declare-fun elements_pre_72_61_38 () Int)
+(declare-fun elements_72_61_38 () Int)
+(declare-fun BITOR_pre_15_21_26 () Int)
+(declare-fun locColon_pre_125_25_13 () Int)
+(declare-fun locColon_125_25_13 () Int)
+(declare-fun BYTETYPE_pre_14_35_26 () Int)
+(declare-fun PARENEXPR_pre_16_54_7 () Int)
+(declare-fun stmt_pre_17_28 () Int)
+(declare-fun stmt_17_28 () Int)
+(declare-fun ASGBITOR_pre_15_50_26 () Int)
+(declare-fun SKIPSTMT_pre_16_40_7 () Int)
+(declare-fun enclosingInstance_pre_118_37_14 () Int)
+(declare-fun enclosingInstance_118_37_14 () Int)
+(declare-fun type_pre_126_18_28 () Int)
+(declare-fun type_126_18_28 () Int)
+(declare-fun locCloseBrace_pre_91_25_13 () Int)
+(declare-fun locCloseBrace_91_25_13 () Int)
+(declare-fun SUB_pre_15_34_26 () Int)
+(declare-fun COMPOUNDNAME_pre_16_67_7 () Int)
+(declare-fun locDot_pre_133_23_13 () Int)
+(declare-fun locDot_133_23_13 () Int)
+(declare-fun ids_pre_141_19_37 () Int)
+(declare-fun ids_141_19_37 () Int)
+(declare-fun SWITCHSTMT_pre_16_26_7 () Int)
+(declare-fun loc_pre_104_20_13 () Int)
+(declare-fun loc_104_20_13 () Int)
+(declare-fun locId_pre_130_24_13 () Int)
+(declare-fun locId_130_24_13 () Int)
+(declare-fun keywordStrings_pre_30_181_30 () Int)
+(declare-fun NULLTYPE_pre_14_34_26 () Int)
+(declare-fun CASTEXPR_pre_16_53_7 () Int)
+(declare-fun type_pre_127_18_28 () Int)
+(declare-fun type_127_18_28 () Int)
+(declare-fun locFinally_pre_116_25_13 () Int)
+(declare-fun locFinally_116_25_13 () Int)
+(declare-fun ASGBITAND_pre_15_49_26 () Int)
+(declare-fun FORSTMT_pre_16_39_7 () Int)
+(declare-fun locId_pre_80_43_13 () Int)
+(declare-fun locId_80_43_13 () Int)
+(declare-fun elemType_pre_138_18_28 () Int)
+(declare-fun elemType_138_18_28 () Int)
+(declare-fun loc_pre_62_45_13 () Int)
+(declare-fun loc_62_45_13 () Int)
+(declare-fun ADD_pre_15_33_26 () Int)
+(declare-fun SIMPLENAME_pre_16_66_7 () Int)
+(declare-fun BLOCKSTMT_pre_16_25_7 () Int)
+(declare-fun value_pre_25_45_16 () Int)
+(declare-fun value_25_45_16 () Int)
+(declare-fun loc_pre_28_35_13 () Int)
+(declare-fun loc_28_35_13 () Int)
+(declare-fun TYPEMODIFIERPRAGMA_pre_30_28_26 () Int)
+(declare-fun elems_pre () Int)
+(declare-fun elems () Int)
+(declare-fun LS () Int)
+(declare-fun alloc_pre () Int)
+(declare-fun this () Int)
+(declare-fun RES () Int)
+(declare-fun ecReturn () Int)
+(assert (let ((?v_1 (array T_java_lang_String)) (?v_0 (array T_int)) (?v_3 (not (= this null))) (?v_2 (= ecReturn ecReturn)) (?v_4 (= true_term (is this T_javafe_ast_Type))) (?v_5 (not (= RES NULL_13_60_26)))) (not (=> true (=> (and (= length_pre_81_50_25 length_81_50_25) (= length_81_50_25 (asField length_81_50_25 T_int)) (= count_pre_72_67_33 count_72_67_33) (= count_72_67_33 (asField count_72_67_33 T_int)) (= VOIDTYPE_pre_14_33_26 VOIDTYPE_14_33_26) (= true_term (is VOIDTYPE_14_33_26 T_int)) (= INSTANCEOFEXPR_pre_16_52_7 INSTANCEOFEXPR_16_52_7) (= true_term (is INSTANCEOFEXPR_16_52_7 T_int)) (= AND_pre_15_20_26 AND_15_20_26) (= true_term (is AND_15_20_26 T_int)) (= loc_pre_123_49_13 loc_123_49_13) (= loc_123_49_13 (asField loc_123_49_13 T_int)) (= ASGURSHIFT_pre_15_48_26 ASGURSHIFT_15_48_26) (= true_term (is ASGURSHIFT_15_48_26 T_int)) (= expr_pre_15_28 expr_15_28) (= expr_15_28 (asField expr_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_15_28) alloc) true (= IFSTMT_pre_16_38_7 IFSTMT_16_38_7) (= true_term (is IFSTMT_16_38_7 T_int)) (= type_pre_123_24_28 type_123_24_28) (= type_123_24_28 (asField type_123_24_28 T_javafe_ast_Type)) (< (fClosedTime type_123_24_28) alloc) true (= locId_pre_111_20_13 locId_111_20_13) (= locId_111_20_13 (asField locId_111_20_13 T_int)) (= URSHIFT_pre_15_32_26 URSHIFT_15_32_26) (= true_term (is URSHIFT_15_32_26 T_int)) (= tokenType_pre_78_90_8 tokenType_78_90_8) (= tokenType_78_90_8 (asField tokenType_78_90_8 T_int)) (= ARRAYTYPE_pre_16_65_7 ARRAYTYPE_16_65_7) (= true_term (is ARRAYTYPE_16_65_7 T_int)) (= FORMALPARADECL_pre_16_24_7 FORMALPARADECL_16_24_7) (= true_term (is FORMALPARADECL_16_24_7 T_int)) (= enclosingInstance_pre_122_25_14 enclosingInstance_122_25_14) (= enclosingInstance_122_25_14 (asField enclosingInstance_122_25_14 T_javafe_ast_Expr)) (< (fClosedTime enclosingInstance_122_25_14) alloc) (= loc_pre_122_49_13 loc_122_49_13) (= loc_122_49_13 (asField loc_122_49_13 T_int)) (= DOUBLETYPE_pre_14_32_26 DOUBLETYPE_14_32_26) (= true_term (is DOUBLETYPE_14_32_26 T_int)) (= CONDEXPR_pre_16_51_7 CONDEXPR_16_51_7) (= true_term (is CONDEXPR_16_51_7 T_int)) (= TYPEDECLELEMPRAGMA_pre_30_27_26 TYPEDECLELEMPRAGMA_30_27_26) (= true_term (is TYPEDECLELEMPRAGMA_30_27_26 T_int)) (= OR_pre_15_19_26 OR_15_19_26) (= true_term (is OR_15_19_26 T_int)) (= ASGRSHIFT_pre_15_47_26 ASGRSHIFT_15_47_26) (= true_term (is ASGRSHIFT_15_47_26 T_int)) (= locQuestion_pre_125_22_13 locQuestion_125_22_13) (= locQuestion_125_22_13 (asField locQuestion_125_22_13 T_int)) (= locIds_pre_141_25_29 locIds_141_25_29) (= locIds_141_25_29 (asField locIds_141_25_29 ?v_0)) (< (fClosedTime locIds_141_25_29) alloc) true (= locSuper_pre_137_20_13 locSuper_137_20_13) (= locSuper_137_20_13 (asField locSuper_137_20_13 T_int)) (= LABELSTMT_pre_16_37_7 LABELSTMT_16_37_7) (= true_term (is LABELSTMT_16_37_7 T_int)) (= locOpenBrackets_pre_123_65_29 locOpenBrackets_123_65_29) (= locOpenBrackets_123_65_29 (asField locOpenBrackets_123_65_29 ?v_0)) (< (fClosedTime locOpenBrackets_123_65_29) alloc) true (= op_pre_26_35_13 op_26_35_13) (= op_26_35_13 (asField op_26_35_13 T_int)) (= locOpenBrace_pre_91_22_13 locOpenBrace_91_22_13) (= locOpenBrace_91_22_13 (asField locOpenBrace_91_22_13 T_int)) (= RSHIFT_pre_15_31_26 RSHIFT_15_31_26) (= true_term (is RSHIFT_15_31_26 T_int)) (= locOpenParen_pre_118_48_13 locOpenParen_118_48_13) (= locOpenParen_118_48_13 (asField locOpenParen_118_48_13 T_int)) (= TYPENAME_pre_16_64_7 TYPENAME_16_64_7) (= true_term (is TYPENAME_16_64_7 T_int)) (= FIELDDECL_pre_16_23_7 FIELDDECL_16_23_7) (= true_term (is FIELDDECL_16_23_7 T_int)) (= loc_pre_63_30_13 loc_63_30_13) (= loc_63_30_13 (asField loc_63_30_13 T_int)) (= loc_pre_113_33_13 loc_113_33_13) (= loc_113_33_13 (asField loc_113_33_13 T_int)) (= FLOATTYPE_pre_14_31_26 FLOATTYPE_14_31_26) (= true_term (is FLOATTYPE_14_31_26 T_int)) (= NEWARRAYEXPR_pre_16_50_7 NEWARRAYEXPR_16_50_7) (= true_term (is NEWARRAYEXPR_16_50_7 T_int)) (= ASGLSHIFT_pre_15_46_26 ASGLSHIFT_15_46_26) (= true_term (is ASGLSHIFT_15_46_26 T_int)) (= I_will_establish_invariants_afterwards_pre_5_236_34 I_will_establish_invariants_afterwards_5_236_34) (= true_term (is I_will_establish_invariants_afterwards_5_236_34 T_boolean)) (= CONTINUESTMT_pre_16_36_7 CONTINUESTMT_16_36_7) (= true_term (is CONTINUESTMT_16_36_7 T_int)) (= loc_pre_80_40_13 loc_80_40_13) (= loc_80_40_13 (asField loc_80_40_13 T_int)) (= STMTPRAGMA_pre_30_26_26 STMTPRAGMA_30_26_26) (= true_term (is STMTPRAGMA_30_26_26 T_int)) (= elements_pre_140_61_39 elements_140_61_39) (= elements_140_61_39 (asField elements_140_61_39 (array T_javafe_ast_Identifier))) (< (fClosedTime elements_140_61_39) alloc) true (= loc_pre_116_22_13 loc_116_22_13) (= loc_116_22_13 (asField loc_116_22_13 T_int)) (= op_pre_27_26_13 op_27_26_13) (= op_27_26_13 (asField op_27_26_13 T_int)) (= locOpenParen_pre_131_30_13 locOpenParen_131_30_13) (= locOpenParen_131_30_13 (asField locOpenParen_131_30_13 T_int)) (= locGuardOpenParen_pre_23_13 locGuardOpenParen_23_13) (= locGuardOpenParen_23_13 (asField locGuardOpenParen_23_13 T_int)) (= LSHIFT_pre_15_30_26 LSHIFT_15_30_26) (= true_term (is LSHIFT_15_30_26 T_int)) (= locCloseBrace_pre_62_54_13 locCloseBrace_62_54_13) (= locCloseBrace_62_54_13 (asField locCloseBrace_62_54_13 T_int)) (= NULLLIT_pre_14_45_26 NULLLIT_14_45_26) (= true_term (is NULLLIT_14_45_26 T_int)) (= SUPEROBJECTDESIGNATOR_pre_16_63_7 SUPEROBJECTDESIGNATOR_16_63_7) (= true_term (is SUPEROBJECTDESIGNATOR_16_63_7 T_int)) (= loc_pre_83_29_13 loc_83_29_13) (= loc_83_29_13 (asField loc_83_29_13 T_int)) (= LOCALVARDECL_pre_16_22_7 LOCALVARDECL_16_22_7) (= true_term (is LOCALVARDECL_16_22_7 T_int)) (= loc_pre_107_18_13 loc_107_18_13) (= loc_107_18_13 (asField loc_107_18_13 T_int)) (= punctuationStrings_pre_30_134_22 punctuationStrings_30_134_22) (= true_term (is punctuationStrings_30_134_22 ?v_1)) (= true_term (isAllocated punctuationStrings_30_134_22 alloc)) (= POSTFIXDEC_pre_15_63_26 POSTFIXDEC_15_63_26) (= true_term (is POSTFIXDEC_15_63_26 T_int)) (= CHARTYPE_pre_14_30_26 CHARTYPE_14_30_26) (= true_term (is CHARTYPE_14_30_26 T_int)) (= NEWINSTANCEEXPR_pre_16_49_7 NEWINSTANCEEXPR_16_49_7) (= true_term (is NEWINSTANCEEXPR_16_49_7 T_int)) (= tag_pre_28_30_13 tag_28_30_13) (= tag_28_30_13 (asField tag_28_30_13 T_int)) (= loc_pre_117_23_13 loc_117_23_13) (= loc_117_23_13 (asField loc_117_23_13 T_int)) (= type_pre_133_20_28 type_133_20_28) (= type_133_20_28 (asField type_133_20_28 T_javafe_ast_Type)) (< (fClosedTime type_133_20_28) alloc) true (= dims_pre_123_45_31 dims_123_45_31) (= dims_123_45_31 (asField dims_123_45_31 T_javafe_ast_ExprVec)) (< (fClosedTime dims_123_45_31) alloc) true (= ASGSUB_pre_15_45_26 ASGSUB_15_45_26) (= true_term (is ASGSUB_15_45_26 T_int)) (= BREAKSTMT_pre_16_35_7 BREAKSTMT_16_35_7) (= true_term (is BREAKSTMT_16_35_7 T_int)) (= locDots_pre_141_31_29 locDots_141_31_29) (= locDots_141_31_29 (asField locDots_141_31_29 ?v_0)) (< (fClosedTime locDots_141_31_29) alloc) true (= otherStrings_pre_30_193_30 otherStrings_30_193_30) (= true_term (is otherStrings_30_193_30 ?v_1)) (= true_term (isAllocated otherStrings_30_193_30 alloc)) (= LT_pre_15_29_26 LT_15_29_26) (= true_term (is LT_15_29_26 T_int)) (= MODIFIERPRAGMA_pre_30_25_26 MODIFIERPRAGMA_30_25_26) (= true_term (is MODIFIERPRAGMA_30_25_26 T_int)) (= STRINGLIT_pre_14_44_26 STRINGLIT_14_44_26) (= true_term (is STRINGLIT_14_44_26 T_int)) (= TYPEOBJECTDESIGNATOR_pre_16_62_7 TYPEOBJECTDESIGNATOR_16_62_7) (= true_term (is TYPEOBJECTDESIGNATOR_16_62_7 T_int)) (= locDot_pre_84_21_13 locDot_84_21_13) (= locDot_84_21_13 (asField locDot_84_21_13 T_int)) (= INITBLOCK_pre_16_21_7 INITBLOCK_16_21_7) (= true_term (is INITBLOCK_16_21_7 T_int)) (= loc_pre_93_18_13 loc_93_18_13) (= loc_93_18_13 (asField loc_93_18_13 T_int)) (= locType_pre_79_21_13 locType_79_21_13) (= locType_79_21_13 (asField locType_79_21_13 T_int)) (= noTokens_pre_30_212_27 noTokens_30_212_27) (= true_term (is noTokens_30_212_27 T_int)) (= loc_pre_103_20_13 loc_103_20_13) (= loc_103_20_13 (asField loc_103_20_13 T_int)) (= POSTFIXINC_pre_15_62_26 POSTFIXINC_15_62_26) (= true_term (is POSTFIXINC_15_62_26 T_int)) (= LONGTYPE_pre_14_29_26 LONGTYPE_14_29_26) (= true_term (is LONGTYPE_14_29_26 T_int)) (= ARRAYREFEXPR_pre_16_48_7 ARRAYREFEXPR_16_48_7) (= true_term (is ARRAYREFEXPR_16_48_7 T_int)) (= ASGADD_pre_15_44_26 ASGADD_15_44_26) (= true_term (is ASGADD_15_44_26 T_int)) (= THROWSTMT_pre_16_34_7 THROWSTMT_16_34_7) (= true_term (is THROWSTMT_16_34_7 T_int)) (= count_pre_140_67_33 count_140_67_33) (= count_140_67_33 (asField count_140_67_33 T_int)) (= elements_pre_124_61_33 elements_124_61_33) (= elements_124_61_33 (asField elements_124_61_33 (array T_javafe_ast_Expr))) (< (fClosedTime elements_124_61_33) alloc) true (= TYPESIG_pre_29_6_28 TYPESIG_29_6_28) (= true_term (is TYPESIG_29_6_28 T_int)) (= LE_pre_15_28_26 LE_15_28_26) (= true_term (is LE_15_28_26 T_int)) (= DOUBLELIT_pre_14_43_26 DOUBLELIT_14_43_26) (= true_term (is DOUBLELIT_14_43_26 T_int)) (= EXPROBJECTDESIGNATOR_pre_16_61_7 EXPROBJECTDESIGNATOR_16_61_7) (= true_term (is EXPROBJECTDESIGNATOR_16_61_7 T_int)) (= locKeyword_pre_118_45_13 locKeyword_118_45_13) (= locKeyword_118_45_13 (asField locKeyword_118_45_13 T_int)) (= METHODDECL_pre_16_20_7 METHODDECL_16_20_7) (= true_term (is METHODDECL_16_20_7 T_int)) (= locCloseBracket_pre_121_23_13 locCloseBracket_121_23_13) (= locCloseBracket_121_23_13 (asField locCloseBracket_121_23_13 T_int)) (= syntax_pre_24_28_29 syntax_24_28_29) (= syntax_24_28_29 (asField syntax_24_28_29 T_boolean)) (= loc_pre_109_18_13 loc_109_18_13) (= loc_109_18_13 (asField loc_109_18_13 T_int)) (= DEC_pre_15_59_26 DEC_15_59_26) (= true_term (is DEC_15_59_26 T_int)) (= LEXICALPRAGMA_pre_30_24_26 LEXICALPRAGMA_30_24_26) (= true_term (is LEXICALPRAGMA_30_24_26 T_int)) (= INTTYPE_pre_14_28_26 INTTYPE_14_28_26) (= true_term (is INTTYPE_14_28_26 T_int)) (= THISEXPR_pre_16_47_7 THISEXPR_16_47_7) (= true_term (is THISEXPR_16_47_7 T_int)) (= loc_pre_100_18_13 loc_100_18_13) (= loc_100_18_13 (asField loc_100_18_13 T_int)) (= ASGREM_pre_15_43_26 ASGREM_15_43_26) (= true_term (is ASGREM_15_43_26 T_int)) (= RETURNSTMT_pre_16_33_7 RETURNSTMT_16_33_7) (= true_term (is RETURNSTMT_16_33_7 T_int)) (= LAST_KEYWORD_pre_30_103_26 LAST_KEYWORD_30_103_26) (= true_term (is LAST_KEYWORD_30_103_26 T_int)) (= GT_pre_15_27_26 GT_15_27_26) (= true_term (is GT_15_27_26 T_int)) (= locOpenParen_pre_132_28_13 locOpenParen_132_28_13) (= locOpenParen_132_28_13 (asField locOpenParen_132_28_13 T_int)) (= FLOATLIT_pre_14_42_26 FLOATLIT_14_42_26) (= true_term (is FLOATLIT_14_42_26 T_int)) (= CLASSLITERAL_pre_16_60_7 CLASSLITERAL_16_60_7) (= true_term (is CLASSLITERAL_16_60_7 T_int)) (= CONSTRUCTORDECL_pre_16_19_7 CONSTRUCTORDECL_16_19_7) (= true_term (is CONSTRUCTORDECL_16_19_7 T_int)) (= FIRST_KEYWORD_pre_30_51_26 FIRST_KEYWORD_30_51_26) (= true_term (is FIRST_KEYWORD_30_51_26 T_int)) (= INC_pre_15_58_26 INC_15_58_26) (= true_term (is INC_15_58_26 T_int)) (= superCall_pre_118_24_17 superCall_118_24_17) (= superCall_118_24_17 (asField superCall_118_24_17 T_boolean)) (= BOOLEANTYPE_pre_14_27_26 BOOLEANTYPE_14_27_26) (= true_term (is BOOLEANTYPE_14_27_26 T_int)) (= ARRAYINIT_pre_16_46_7 ARRAYINIT_16_46_7) (= true_term (is ARRAYINIT_16_46_7 T_int)) (= locCloseParen_pre_128_21_13 locCloseParen_128_21_13) (= locCloseParen_128_21_13 (asField locCloseParen_128_21_13 T_int)) (= owner_pre_8_35_28 owner_8_35_28) (= owner_8_35_28 (asField owner_8_35_28 T_java_lang_Object)) (< (fClosedTime owner_8_35_28) alloc) (= ASGDIV_pre_15_42_26 ASGDIV_15_42_26) (= true_term (is ASGDIV_15_42_26 T_int)) (= locOpenBrace_pre_62_51_13 locOpenBrace_62_51_13) (= locOpenBrace_62_51_13 (asField locOpenBrace_62_51_13 T_int)) (= locCloseParen_pre_127_24_13 locCloseParen_127_24_13) (= locCloseParen_127_24_13 (asField locCloseParen_127_24_13 T_int)) (= EVALSTMT_pre_16_32_7 EVALSTMT_16_32_7) (= true_term (is EVALSTMT_16_32_7 T_int)) (= count_pre_124_67_33 count_124_67_33) (= count_124_67_33 (asField count_124_67_33 T_int)) (= GE_pre_15_26_26 GE_15_26_26) (= true_term (is GE_15_26_26 T_int)) (= CHARLIT_pre_14_41_26 CHARLIT_14_41_26) (= true_term (is CHARLIT_14_41_26 T_int)) (= METHODINVOCATION_pre_16_59_7 METHODINVOCATION_16_59_7) (= true_term (is METHODINVOCATION_16_59_7 T_int)) (= INTERFACEDECL_pre_16_18_7 INTERFACEDECL_16_18_7) (= true_term (is INTERFACEDECL_16_18_7 T_int)) (= BITNOT_pre_15_57_26 BITNOT_15_57_26) (= true_term (is BITNOT_15_57_26 T_int)) (= IDENT_pre_14_25_26 IDENT_14_25_26) (= true_term (is IDENT_14_25_26 T_int)) (= CATCHCLAUSE_pre_16_45_7 CATCHCLAUSE_16_45_7) (= true_term (is CATCHCLAUSE_16_45_7 T_int)) (= loc_pre_115_29_13 loc_115_29_13) (= loc_115_29_13 (asField loc_115_29_13 T_int)) (= NULL_pre_30_82_26 NULL_30_82_26) (= true_term (is NULL_30_82_26 T_int)) (= ASGMUL_pre_15_41_26 ASGMUL_15_41_26) (= true_term (is ASGMUL_15_41_26 T_int)) (= SYNCHRONIZESTMT_pre_16_31_7 SYNCHRONIZESTMT_16_31_7) (= true_term (is SYNCHRONIZESTMT_16_31_7 T_int)) (= locOp_pre_26_43_13 locOp_26_43_13) (= locOp_26_43_13 (asField locOp_26_43_13 T_int)) (= loc_pre_20_13 loc_20_13) (= loc_20_13 (asField loc_20_13 T_int)) (= locCloseBrace_pre_120_24_13 locCloseBrace_120_24_13) (= locCloseBrace_120_24_13 (asField locCloseBrace_120_24_13 T_int)) (= EQ_pre_15_25_26 EQ_15_25_26) (= true_term (is EQ_15_25_26 T_int)) (= locId_pre_77_38_13 locId_77_38_13) (= locId_77_38_13 (asField locId_77_38_13 T_int)) (= LONGLIT_pre_14_40_26 LONGLIT_14_40_26) (= true_term (is LONGLIT_14_40_26 T_int)) (= AMBIGUOUSMETHODINVOCATION_pre_16_58_7 AMBIGUOUSMETHODINVOCATION_16_58_7) (= true_term (is AMBIGUOUSMETHODINVOCATION_16_58_7 T_int)) (= CLASSDECL_pre_16_17_7 CLASSDECL_16_17_7) (= true_term (is CLASSDECL_16_17_7 T_int)) (= loc_pre_112_22_13 loc_112_22_13) (= loc_112_22_13 (asField loc_112_22_13 T_int)) (= elements_pre_73_61_39 elements_73_61_39) (= elements_73_61_39 (asField elements_73_61_39 (array T_javafe_ast_MethodDecl))) (< (fClosedTime elements_73_61_39) alloc) true (= NOT_pre_15_56_26 NOT_15_56_26) (= true_term (is NOT_15_56_26 T_int)) (= locDot_pre_118_41_13 locDot_118_41_13) (= locDot_118_41_13 (asField locDot_118_41_13 T_int)) (= CONSTRUCTORINVOCATION_pre_16_44_7 CONSTRUCTORINVOCATION_16_44_7) (= true_term (is CONSTRUCTORINVOCATION_16_44_7 T_int)) (= locOpenParen_pre_104_23_13 locOpenParen_104_23_13) (= locOpenParen_104_23_13 (asField locOpenParen_104_23_13 T_int)) (= locOpenBracket_pre_121_20_13 locOpenBracket_121_20_13) (= locOpenBracket_121_20_13 (asField locOpenBracket_121_20_13 T_int)) (= ASSIGN_pre_15_40_26 ASSIGN_15_40_26) (= true_term (is ASSIGN_15_40_26 T_int)) (= DOSTMT_pre_16_30_7 DOSTMT_16_30_7) (= true_term (is DOSTMT_16_30_7 T_int)) (= locOpenBrace_pre_80_36_13 locOpenBrace_80_36_13) (= locOpenBrace_80_36_13 (asField locOpenBrace_80_36_13 T_int)) (= NE_pre_15_24_26 NE_15_24_26) (= true_term (is NE_15_24_26 T_int)) (= INTLIT_pre_14_39_26 INTLIT_14_39_26) (= true_term (is INTLIT_14_39_26 T_int)) (= locId_pre_132_25_13 locId_132_25_13) (= locId_132_25_13 (asField locId_132_25_13 T_int)) (= FIELDACCESS_pre_16_57_7 FIELDACCESS_16_57_7) (= true_term (is FIELDACCESS_16_57_7 T_int)) (= locOpenBracket_pre_138_21_13 locOpenBracket_138_21_13) (= locOpenBracket_138_21_13 (asField locOpenBracket_138_21_13 T_int)) (= ONDEMANDIMPORTDECL_pre_16_16_7 ONDEMANDIMPORTDECL_16_16_7) (= true_term (is ONDEMANDIMPORTDECL_16_16_7 T_int)) (= init_pre_123_35_19 init_123_35_19) (= init_123_35_19 (asField init_123_35_19 T_javafe_ast_ArrayInit)) (< (fClosedTime init_123_35_19) alloc) (= UNARYSUB_pre_15_55_26 UNARYSUB_15_55_26) (= true_term (is UNARYSUB_15_55_26 T_int)) (= returnType_pre_79_18_28 returnType_79_18_28) (= returnType_79_18_28 (asField returnType_79_18_28 T_javafe_ast_Type)) (< (fClosedTime returnType_79_18_28) alloc) true (= loc_pre_114_16_13 loc_114_16_13) (= loc_114_16_13 (asField loc_114_16_13 T_int)) (= loc_pre_129_22_13 loc_129_22_13) (= loc_129_22_13 (asField loc_129_22_13 T_int)) (= TRYCATCHSTMT_pre_16_43_7 TRYCATCHSTMT_16_43_7) (= true_term (is TRYCATCHSTMT_16_43_7 T_int)) (= body_pre_80_34_19 body_80_34_19) (= body_80_34_19 (asField body_80_34_19 T_javafe_ast_BlockStmt)) (< (fClosedTime body_80_34_19) alloc) (= loc_pre_126_21_13 loc_126_21_13) (= loc_126_21_13 (asField loc_126_21_13 T_int)) (= STAR_pre_15_37_26 STAR_15_37_26) (= true_term (is STAR_15_37_26 T_int)) (= WHILESTMT_pre_16_29_7 WHILESTMT_16_29_7) (= true_term (is WHILESTMT_16_29_7 T_int)) (= loc_pre_139_20_13 loc_139_20_13) (= loc_139_20_13 (asField loc_139_20_13 T_int)) (= BITAND_pre_15_23_26 BITAND_15_23_26) (= true_term (is BITAND_15_23_26 T_int)) (= locOpenParen_pre_127_21_13 locOpenParen_127_21_13) (= locOpenParen_127_21_13 (asField locOpenParen_127_21_13 T_int)) (= BOOLEANLIT_pre_14_38_26 BOOLEANLIT_14_38_26) (= true_term (is BOOLEANLIT_14_38_26 T_int)) (= VARIABLEACCESS_pre_16_56_7 VARIABLEACCESS_16_56_7) (= true_term (is VARIABLEACCESS_16_56_7 T_int)) (= SINGLETYPEIMPORTDECL_pre_16_15_7 SINGLETYPEIMPORTDECL_16_15_7) (= true_term (is SINGLETYPEIMPORTDECL_16_15_7 T_int)) (= UNARYADD_pre_15_54_26 UNARYADD_15_54_26) (= true_term (is UNARYADD_15_54_26 T_int)) (= locId_pre_62_48_13 locId_62_48_13) (= locId_62_48_13 (asField locId_62_48_13 T_int)) (= TRYFINALLYSTMT_pre_16_42_7 TRYFINALLYSTMT_16_42_7) (= true_term (is TRYFINALLYSTMT_16_42_7 T_int)) (= count_pre_73_67_33 count_73_67_33) (= count_73_67_33 (asField count_73_67_33 T_int)) (= MOD_pre_15_36_26 MOD_15_36_26) (= true_term (is MOD_15_36_26 T_int)) (= otherCodes_pre_30_202_27 otherCodes_30_202_27) (= true_term (is otherCodes_30_202_27 ?v_0)) (= true_term (isAllocated otherCodes_30_202_27 alloc)) (= loc_pre_119_22_13 loc_119_22_13) (= loc_119_22_13 (asField loc_119_22_13 T_int)) (= loc_pre_25_50_13 loc_25_50_13) (= loc_25_50_13 (asField loc_25_50_13 T_int)) (= CLASSDECLSTMT_pre_16_28_7 CLASSDECLSTMT_16_28_7) (= true_term (is CLASSDECLSTMT_16_28_7 T_int)) (= locOpenBrace_pre_120_21_13 locOpenBrace_120_21_13) (= locOpenBrace_120_21_13 (asField locOpenBrace_120_21_13 T_int)) (= BITXOR_pre_15_22_26 BITXOR_15_22_26) (= true_term (is BITXOR_15_22_26 T_int)) (= locOpenParen_pre_128_18_13 locOpenParen_128_18_13) (= locOpenParen_128_18_13 (asField locOpenParen_128_18_13 T_int)) (= locDot_pre_122_29_13 locDot_122_29_13) (= locDot_122_29_13 (asField locDot_122_29_13 T_int)) (= SHORTTYPE_pre_14_36_26 SHORTTYPE_14_36_26) (= true_term (is SHORTTYPE_14_36_26 T_int)) (= AMBIGUOUSVARIABLEACCESS_pre_16_55_7 AMBIGUOUSVARIABLEACCESS_16_55_7) (= true_term (is AMBIGUOUSVARIABLEACCESS_16_55_7 T_int)) (= type_pre_77_35_28 type_77_35_28) (= type_77_35_28 (asField type_77_35_28 T_javafe_ast_Type)) (< (fClosedTime type_77_35_28) alloc) true (= COMPILATIONUNIT_pre_16_14_7 COMPILATIONUNIT_16_14_7) (= true_term (is COMPILATIONUNIT_16_14_7 T_int)) (= ASGBITXOR_pre_15_51_26 ASGBITXOR_15_51_26) (= true_term (is ASGBITXOR_15_51_26 T_int)) (= tag_pre_25_32_13 tag_25_32_13) (= tag_25_32_13 (asField tag_25_32_13 T_int)) (= punctuationCodes_pre_30_164_19 punctuationCodes_30_164_19) (= true_term (is punctuationCodes_30_164_19 ?v_0)) (= true_term (isAllocated punctuationCodes_30_164_19 alloc)) (= NULL_pre_13_60_26 NULL_13_60_26) (= true_term (is NULL_13_60_26 T_int)) (= SWITCHLABEL_pre_16_41_7 SWITCHLABEL_16_41_7) (= true_term (is SWITCHLABEL_16_41_7 T_int)) (= loc_pre_106_18_13 loc_106_18_13) (= loc_106_18_13 (asField loc_106_18_13 T_int)) (= locOp_pre_27_32_13 locOp_27_32_13) (= locOp_27_32_13 (asField locOp_27_32_13 T_int)) (= DIV_pre_15_35_26 DIV_15_35_26) (= true_term (is DIV_15_35_26 T_int)) (= VARDECLSTMT_pre_16_27_7 VARDECLSTMT_16_27_7) (= true_term (is VARDECLSTMT_16_27_7 T_int)) (= locOpenParen_pre_122_52_13 locOpenParen_122_52_13) (= locOpenParen_122_52_13 (asField locOpenParen_122_52_13 T_int)) (= locFirstSemi_pre_113_36_13 locFirstSemi_113_36_13) (= locFirstSemi_113_36_13 (asField locFirstSemi_113_36_13 T_int)) (= elements_pre_72_61_38 elements_72_61_38) (= elements_72_61_38 (asField elements_72_61_38 (array T_javafe_ast_FieldDecl))) (< (fClosedTime elements_72_61_38) alloc) true (= BITOR_pre_15_21_26 BITOR_15_21_26) (= true_term (is BITOR_15_21_26 T_int)) (= locColon_pre_125_25_13 locColon_125_25_13) (= locColon_125_25_13 (asField locColon_125_25_13 T_int)) (= BYTETYPE_pre_14_35_26 BYTETYPE_14_35_26) (= true_term (is BYTETYPE_14_35_26 T_int)) (= PARENEXPR_pre_16_54_7 PARENEXPR_16_54_7) (= true_term (is PARENEXPR_16_54_7 T_int)) (= stmt_pre_17_28 stmt_17_28) (= stmt_17_28 (asField stmt_17_28 T_javafe_ast_Stmt)) (< (fClosedTime stmt_17_28) alloc) true (= ASGBITOR_pre_15_50_26 ASGBITOR_15_50_26) (= true_term (is ASGBITOR_15_50_26 T_int)) (= SKIPSTMT_pre_16_40_7 SKIPSTMT_16_40_7) (= true_term (is SKIPSTMT_16_40_7 T_int)) (= enclosingInstance_pre_118_37_14 enclosingInstance_118_37_14) (= enclosingInstance_118_37_14 (asField enclosingInstance_118_37_14 T_javafe_ast_Expr)) (< (fClosedTime enclosingInstance_118_37_14) alloc) (= type_pre_126_18_28 type_126_18_28) (= type_126_18_28 (asField type_126_18_28 T_javafe_ast_Type)) (< (fClosedTime type_126_18_28) alloc) true (= locCloseBrace_pre_91_25_13 locCloseBrace_91_25_13) (= locCloseBrace_91_25_13 (asField locCloseBrace_91_25_13 T_int)) (= SUB_pre_15_34_26 SUB_15_34_26) (= true_term (is SUB_15_34_26 T_int)) (= COMPOUNDNAME_pre_16_67_7 COMPOUNDNAME_16_67_7) (= true_term (is COMPOUNDNAME_16_67_7 T_int)) (= locDot_pre_133_23_13 locDot_133_23_13) (= locDot_133_23_13 (asField locDot_133_23_13 T_int)) (= ids_pre_141_19_37 ids_141_19_37) (= ids_141_19_37 (asField ids_141_19_37 T_javafe_ast_IdentifierVec)) (< (fClosedTime ids_141_19_37) alloc) true (= SWITCHSTMT_pre_16_26_7 SWITCHSTMT_16_26_7) (= true_term (is SWITCHSTMT_16_26_7 T_int)) (= loc_pre_104_20_13 loc_104_20_13) (= loc_104_20_13 (asField loc_104_20_13 T_int)) (= locId_pre_130_24_13 locId_130_24_13) (= locId_130_24_13 (asField locId_130_24_13 T_int)) (= keywordStrings_pre_30_181_30 keywordStrings_30_181_30) (= true_term (is keywordStrings_30_181_30 ?v_1)) (= true_term (isAllocated keywordStrings_30_181_30 alloc)) (= NULLTYPE_pre_14_34_26 NULLTYPE_14_34_26) (= true_term (is NULLTYPE_14_34_26 T_int)) (= CASTEXPR_pre_16_53_7 CASTEXPR_16_53_7) (= true_term (is CASTEXPR_16_53_7 T_int)) (= type_pre_127_18_28 type_127_18_28) (= type_127_18_28 (asField type_127_18_28 T_javafe_ast_Type)) (< (fClosedTime type_127_18_28) alloc) true (= locFinally_pre_116_25_13 locFinally_116_25_13) (= locFinally_116_25_13 (asField locFinally_116_25_13 T_int)) (= ASGBITAND_pre_15_49_26 ASGBITAND_15_49_26) (= true_term (is ASGBITAND_15_49_26 T_int)) (= FORSTMT_pre_16_39_7 FORSTMT_16_39_7) (= true_term (is FORSTMT_16_39_7 T_int)) (= locId_pre_80_43_13 locId_80_43_13) (= locId_80_43_13 (asField locId_80_43_13 T_int)) (= elemType_pre_138_18_28 elemType_138_18_28) (= elemType_138_18_28 (asField elemType_138_18_28 T_javafe_ast_Type)) (< (fClosedTime elemType_138_18_28) alloc) true (= loc_pre_62_45_13 loc_62_45_13) (= loc_62_45_13 (asField loc_62_45_13 T_int)) (= ADD_pre_15_33_26 ADD_15_33_26) (= true_term (is ADD_15_33_26 T_int)) (= SIMPLENAME_pre_16_66_7 SIMPLENAME_16_66_7) (= true_term (is SIMPLENAME_16_66_7 T_int)) (= BLOCKSTMT_pre_16_25_7 BLOCKSTMT_16_25_7) (= true_term (is BLOCKSTMT_16_25_7 T_int)) (= value_pre_25_45_16 value_25_45_16) (= value_25_45_16 (asField value_25_45_16 T_java_lang_Object)) (< (fClosedTime value_25_45_16) alloc) (= loc_pre_28_35_13 loc_28_35_13) (= loc_28_35_13 (asField loc_28_35_13 T_int)) (= TYPEMODIFIERPRAGMA_pre_30_28_26 TYPEMODIFIERPRAGMA_30_28_26) (= true_term (is TYPEMODIFIERPRAGMA_30_28_26 T_int)) (= elems_pre elems) (= elems (asElems elems)) (< (eClosedTime elems) alloc) (= LS (asLockSet LS)) (= alloc_pre alloc)) (not (and (= true_term (is this T_javafe_ast_WhileStmt)) (= true_term (isAllocated this alloc)) ?v_3 true true (= RES (S_select loc_20_13 this)) (= true_term true_term) (or (not ?v_2) (and ?v_2 (or (not (=> (and ?v_2 (not (and ?v_4 ?v_3))) ?v_5)) (not (=> (and ?v_2 ?v_4 ?v_3 (= true_term (S_select syntax_24_28_29 (cast this T_javafe_ast_Type)))) ?v_5))))))))))))
+(check-sat)
+(exit)
diff --git a/test/regress/regress2/nl/Makefile.am b/test/regress/regress2/nl/Makefile.am
new file mode 100644
index 000000000..246473831
--- /dev/null
+++ b/test/regress/regress2/nl/Makefile.am
@@ -0,0 +1,33 @@
+# don't override a BINARY imported from a personal.mk
+@mk_if@eq ($(BINARY),)
+@mk_empty@BINARY = cvc4
+end@mk_if@
+
+LOG_COMPILER = @srcdir@/../../run_regression
+AM_LOG_FLAGS = $(RUN_REGRESSION_ARGS) @abs_top_builddir@/src/main/$(BINARY)$(EXEEXT)
+
+if AUTOMAKE_1_11
+# old-style (pre-automake 1.12) test harness
+TESTS_ENVIRONMENT = \
+ $(LOG_COMPILER) \
+ $(AM_LOG_FLAGS) $(LOG_FLAGS)
+endif
+
+# These are run for all build profiles.
+# If a test shouldn't be run in e.g. competition mode,
+# put it below in "TESTS +="
+TESTS = \
+ siegel-nl-bases.smt2
+
+
+EXTRA_DIST = $(TESTS) \
+ dumortier-050317.smt2 \
+ nt-lemmas-bad.smt2
+
+# synonyms for "check" in this directory
+.PHONY: regress regress2 test
+regress regress2 test: check
+
+# do nothing in this subdir
+.PHONY: regress0 regress1 regress3 regress4
+regress0 regress1 regress3 regress4:
diff --git a/test/regress/regress2/nl/dumortier-050317.smt2 b/test/regress/regress2/nl/dumortier-050317.smt2
new file mode 100644
index 000000000..04c498ca0
--- /dev/null
+++ b/test/regress/regress2/nl/dumortier-050317.smt2
@@ -0,0 +1,38 @@
+(set-logic QF_NRA)
+(declare-fun time__AT0@0 () Real)
+(declare-fun instance.y__AT0@0 () Real)
+(declare-fun instance.x__AT0@0 () Real)
+(declare-fun event_is_timed__AT0@3 () Bool)
+(declare-fun instance.EVENT.0__AT0@2 () Bool)
+(declare-fun instance.EVENT.1__AT0@2 () Bool)
+(declare-fun instance.y__AT0@3 () Real)
+(declare-fun instance.x__AT0@3 () Real)
+(declare-fun time__AT0@3 () Real)
+(declare-fun instance.y__AT0@5 () Real)
+(declare-fun event_is_timed__AT0@1 () Bool)
+(declare-fun event_is_timed__AT0@0 () Bool)
+(declare-fun instance.EVENT.0__AT0@0 () Bool)
+(declare-fun instance.EVENT.1__AT0@0 () Bool)
+(declare-fun instance.y__AT0@1 () Real)
+(declare-fun instance.x__AT0@1 () Real)
+(declare-fun time__AT0@1 () Real)
+(declare-fun event_is_timed__AT0@4 () Bool)
+(declare-fun instance.EVENT.0__AT0@3 () Bool)
+(declare-fun instance.EVENT.1__AT0@3 () Bool)
+(declare-fun instance.y__AT0@4 () Real)
+(declare-fun instance.x__AT0@4 () Real)
+(declare-fun time__AT0@4 () Real)
+(declare-fun event_is_timed__AT0@2 () Bool)
+(declare-fun instance.EVENT.0__AT0@1 () Bool)
+(declare-fun instance.EVENT.1__AT0@1 () Bool)
+(declare-fun instance.y__AT0@2 () Real)
+(declare-fun instance.x__AT0@2 () Real)
+(declare-fun time__AT0@2 () Real)
+(declare-fun event_is_timed__AT0@5 () Bool)
+(declare-fun instance.EVENT.0__AT0@4 () Bool)
+(declare-fun instance.EVENT.1__AT0@4 () Bool)
+(declare-fun instance.x__AT0@5 () Real)
+(declare-fun time__AT0@5 () Real)
+(assert (let ((.def_0 (<= instance.y__AT0@5 2.0))) (let ((.def_1 (not .def_0))) (let ((.def_2 (not instance.EVENT.1__AT0@4))) (let ((.def_3 (not instance.EVENT.0__AT0@4))) (let ((.def_4 (or .def_3 .def_2))) (let ((.def_5 (= event_is_timed__AT0@4 instance.EVENT.1__AT0@4))) (let ((.def_6 (<= time__AT0@4 time__AT0@5))) (let ((.def_7 (or .def_2 .def_6))) (let ((.def_8 (and .def_7 .def_5))) (let ((.def_9 (= time__AT0@4 time__AT0@5))) (let ((.def_10 (or instance.EVENT.1__AT0@4 .def_9))) (let ((.def_11 (and .def_10 .def_8))) (let ((.def_12 (* (- 1.0) time__AT0@4))) (let ((.def_13 (+ .def_12 time__AT0@5))) (let ((.def_14 (exp .def_13))) (let ((.def_15 (* instance.y__AT0@4 .def_14))) (let ((.def_16 (= instance.y__AT0@5 .def_15))) (let ((.def_17 (* 970143.0 instance.x__AT0@5))) (let ((.def_18 (* (- 970143.0) instance.x__AT0@4))) (let ((.def_19 (+ .def_18 .def_17))) (let ((.def_20 (* (- 242536.0) instance.y__AT0@4))) (let ((.def_21 (+ .def_20 .def_19))) (let ((.def_22 (* 242536.0 instance.y__AT0@5))) (let ((.def_23 (+ .def_22 .def_21))) (let ((.def_24 (= .def_23 0.0))) (let ((.def_25 (and .def_24 .def_16))) (let ((.def_26 (not .def_9))) (let ((.def_27 (= instance.x__AT0@4 instance.x__AT0@5))) (let ((.def_28 (= instance.y__AT0@5 instance.y__AT0@4))) (let ((.def_29 (and .def_28 .def_27))) (let ((.def_30 (or .def_29 .def_26))) (let ((.def_31 (and .def_30 .def_25))) (let ((.def_32 (and .def_31 .def_6))) (let ((.def_33 (or .def_2 .def_32))) (let ((.def_34 (and .def_33 .def_10))) (let ((.def_35 (and .def_3 .def_2))) (let ((.def_36 (or .def_35 .def_34))) (let ((.def_37 (and .def_36 .def_11))) (let ((.def_38 (not .def_35))) (let ((.def_39 (or .def_38 .def_29))) (let ((.def_40 (and .def_39 .def_37))) (let ((.def_41 (not event_is_timed__AT0@4))) (let ((.def_42 (= event_is_timed__AT0@5 .def_41))) (let ((.def_43 (and .def_42 .def_40))) (let ((.def_44 (and .def_43 .def_4))) (let ((.def_45 (not instance.EVENT.1__AT0@3))) (let ((.def_46 (not instance.EVENT.0__AT0@3))) (let ((.def_47 (or .def_46 .def_45))) (let ((.def_48 (= event_is_timed__AT0@3 instance.EVENT.1__AT0@3))) (let ((.def_49 (<= time__AT0@3 time__AT0@4))) (let ((.def_50 (or .def_45 .def_49))) (let ((.def_51 (and .def_50 .def_48))) (let ((.def_52 (= time__AT0@3 time__AT0@4))) (let ((.def_53 (or instance.EVENT.1__AT0@3 .def_52))) (let ((.def_54 (and .def_53 .def_51))) (let ((.def_55 (* (- 1.0) time__AT0@3))) (let ((.def_56 (+ .def_55 time__AT0@4))) (let ((.def_57 (exp .def_56))) (let ((.def_58 (* instance.y__AT0@3 .def_57))) (let ((.def_59 (= instance.y__AT0@4 .def_58))) (let ((.def_60 (+ .def_20 .def_18))) (let ((.def_61 (* 970143.0 instance.x__AT0@3))) (let ((.def_62 (+ .def_61 .def_60))) (let ((.def_63 (* 242536.0 instance.y__AT0@3))) (let ((.def_64 (+ .def_63 .def_62))) (let ((.def_65 (= .def_64 0.0))) (let ((.def_66 (and .def_65 .def_59))) (let ((.def_67 (not .def_52))) (let ((.def_68 (= instance.x__AT0@3 instance.x__AT0@4))) (let ((.def_69 (= instance.y__AT0@3 instance.y__AT0@4))) (let ((.def_70 (and .def_69 .def_68))) (let ((.def_71 (or .def_70 .def_67))) (let ((.def_72 (and .def_71 .def_66))) (let ((.def_73 (and .def_72 .def_49))) (let ((.def_74 (or .def_45 .def_73))) (let ((.def_75 (and .def_74 .def_53))) (let ((.def_76 (and .def_46 .def_45))) (let ((.def_77 (or .def_76 .def_75))) (let ((.def_78 (and .def_77 .def_54))) (let ((.def_79 (not .def_76))) (let ((.def_80 (or .def_79 .def_70))) (let ((.def_81 (and .def_80 .def_78))) (let ((.def_82 (not event_is_timed__AT0@3))) (let ((.def_83 (= event_is_timed__AT0@4 .def_82))) (let ((.def_84 (and .def_83 .def_81))) (let ((.def_85 (and .def_84 .def_47))) (let ((.def_86 (not instance.EVENT.1__AT0@2))) (let ((.def_87 (not instance.EVENT.0__AT0@2))) (let ((.def_88 (or .def_87 .def_86))) (let ((.def_89 (= event_is_timed__AT0@2 instance.EVENT.1__AT0@2))) (let ((.def_90 (<= time__AT0@2 time__AT0@3))) (let ((.def_91 (or .def_86 .def_90))) (let ((.def_92 (and .def_91 .def_89))) (let ((.def_93 (= time__AT0@2 time__AT0@3))) (let ((.def_94 (or instance.EVENT.1__AT0@2 .def_93))) (let ((.def_95 (and .def_94 .def_92))) (let ((.def_96 (* (- 1.0) time__AT0@2))) (let ((.def_97 (+ .def_96 time__AT0@3))) (let ((.def_98 (exp .def_97))) (let ((.def_99 (* instance.y__AT0@2 .def_98))) (let ((.def_100 (= instance.y__AT0@3 .def_99))) (let ((.def_101 (* (- 970143.0) instance.x__AT0@3))) (let ((.def_102 (* (- 242536.0) instance.y__AT0@3))) (let ((.def_103 (+ .def_102 .def_101))) (let ((.def_104 (* 970143.0 instance.x__AT0@2))) (let ((.def_105 (+ .def_104 .def_103))) (let ((.def_106 (* 242536.0 instance.y__AT0@2))) (let ((.def_107 (+ .def_106 .def_105))) (let ((.def_108 (= .def_107 0.0))) (let ((.def_109 (and .def_108 .def_100))) (let ((.def_110 (not .def_93))) (let ((.def_111 (= instance.x__AT0@2 instance.x__AT0@3))) (let ((.def_112 (= instance.y__AT0@2 instance.y__AT0@3))) (let ((.def_113 (and .def_112 .def_111))) (let ((.def_114 (or .def_113 .def_110))) (let ((.def_115 (and .def_114 .def_109))) (let ((.def_116 (and .def_115 .def_90))) (let ((.def_117 (or .def_86 .def_116))) (let ((.def_118 (and .def_117 .def_94))) (let ((.def_119 (and .def_87 .def_86))) (let ((.def_120 (or .def_119 .def_118))) (let ((.def_121 (and .def_120 .def_95))) (let ((.def_122 (not .def_119))) (let ((.def_123 (or .def_122 .def_113))) (let ((.def_124 (and .def_123 .def_121))) (let ((.def_125 (not event_is_timed__AT0@2))) (let ((.def_126 (= event_is_timed__AT0@3 .def_125))) (let ((.def_127 (and .def_126 .def_124))) (let ((.def_128 (and .def_127 .def_88))) (let ((.def_129 (not instance.EVENT.1__AT0@1))) (let ((.def_130 (not instance.EVENT.0__AT0@1))) (let ((.def_131 (or .def_130 .def_129))) (let ((.def_132 (= event_is_timed__AT0@1 instance.EVENT.1__AT0@1))) (let ((.def_133 (<= time__AT0@1 time__AT0@2))) (let ((.def_134 (or .def_129 .def_133))) (let ((.def_135 (and .def_134 .def_132))) (let ((.def_136 (= time__AT0@1 time__AT0@2))) (let ((.def_137 (or instance.EVENT.1__AT0@1 .def_136))) (let ((.def_138 (and .def_137 .def_135))) (let ((.def_139 (* (- 1.0) time__AT0@1))) (let ((.def_140 (+ .def_139 time__AT0@2))) (let ((.def_141 (exp .def_140))) (let ((.def_142 (* instance.y__AT0@1 .def_141))) (let ((.def_143 (= instance.y__AT0@2 .def_142))) (let ((.def_144 (* (- 970143.0) instance.x__AT0@2))) (let ((.def_145 (* (- 242536.0) instance.y__AT0@2))) (let ((.def_146 (+ .def_145 .def_144))) (let ((.def_147 (* 970143.0 instance.x__AT0@1))) (let ((.def_148 (+ .def_147 .def_146))) (let ((.def_149 (* 242536.0 instance.y__AT0@1))) (let ((.def_150 (+ .def_149 .def_148))) (let ((.def_151 (= .def_150 0.0))) (let ((.def_152 (and .def_151 .def_143))) (let ((.def_153 (not .def_136))) (let ((.def_154 (= instance.x__AT0@1 instance.x__AT0@2))) (let ((.def_155 (= instance.y__AT0@1 instance.y__AT0@2))) (let ((.def_156 (and .def_155 .def_154))) (let ((.def_157 (or .def_156 .def_153))) (let ((.def_158 (and .def_157 .def_152))) (let ((.def_159 (and .def_158 .def_133))) (let ((.def_160 (or .def_129 .def_159))) (let ((.def_161 (and .def_160 .def_137))) (let ((.def_162 (and .def_130 .def_129))) (let ((.def_163 (or .def_162 .def_161))) (let ((.def_164 (and .def_163 .def_138))) (let ((.def_165 (not .def_162))) (let ((.def_166 (or .def_165 .def_156))) (let ((.def_167 (and .def_166 .def_164))) (let ((.def_168 (not event_is_timed__AT0@1))) (let ((.def_169 (= event_is_timed__AT0@2 .def_168))) (let ((.def_170 (and .def_169 .def_167))) (let ((.def_171 (and .def_170 .def_131))) (let ((.def_172 (not instance.EVENT.1__AT0@0))) (let ((.def_173 (not instance.EVENT.0__AT0@0))) (let ((.def_174 (or .def_173 .def_172))) (let ((.def_175 (= event_is_timed__AT0@0 instance.EVENT.1__AT0@0))) (let ((.def_176 (<= time__AT0@0 time__AT0@1))) (let ((.def_177 (or .def_172 .def_176))) (let ((.def_178 (and .def_177 .def_175))) (let ((.def_179 (= time__AT0@0 time__AT0@1))) (let ((.def_180 (or instance.EVENT.1__AT0@0 .def_179))) (let ((.def_181 (and .def_180 .def_178))) (let ((.def_182 (* (- 1.0) time__AT0@0))) (let ((.def_183 (+ .def_182 time__AT0@1))) (let ((.def_184 (exp .def_183))) (let ((.def_185 (* instance.y__AT0@0 .def_184))) (let ((.def_186 (= instance.y__AT0@1 .def_185))) (let ((.def_187 (* (- 970143.0) instance.x__AT0@1))) (let ((.def_188 (* (- 242536.0) instance.y__AT0@1))) (let ((.def_189 (+ .def_188 .def_187))) (let ((.def_190 (* 970143.0 instance.x__AT0@0))) (let ((.def_191 (+ .def_190 .def_189))) (let ((.def_192 (* 242536.0 instance.y__AT0@0))) (let ((.def_193 (+ .def_192 .def_191))) (let ((.def_194 (= .def_193 0.0))) (let ((.def_195 (and .def_194 .def_186))) (let ((.def_196 (not .def_179))) (let ((.def_197 (= instance.x__AT0@0 instance.x__AT0@1))) (let ((.def_198 (= instance.y__AT0@0 instance.y__AT0@1))) (let ((.def_199 (and .def_198 .def_197))) (let ((.def_200 (or .def_199 .def_196))) (let ((.def_201 (and .def_200 .def_195))) (let ((.def_202 (and .def_201 .def_176))) (let ((.def_203 (or .def_172 .def_202))) (let ((.def_204 (and .def_203 .def_180))) (let ((.def_205 (and .def_173 .def_172))) (let ((.def_206 (or .def_205 .def_204))) (let ((.def_207 (and .def_206 .def_181))) (let ((.def_208 (not .def_205))) (let ((.def_209 (or .def_208 .def_199))) (let ((.def_210 (and .def_209 .def_207))) (let ((.def_211 (not event_is_timed__AT0@0))) (let ((.def_212 (= event_is_timed__AT0@1 .def_211))) (let ((.def_213 (and .def_212 .def_210))) (let ((.def_214 (and .def_213 .def_174))) (let ((.def_215 (<= instance.x__AT0@0 (- (/ 1 2))))) (let ((.def_216 (not .def_215))) (let ((.def_217 (<= 0.0 instance.x__AT0@0))) (let ((.def_218 (not .def_217))) (let ((.def_219 (and .def_218 .def_216))) (let ((.def_220 (<= 0.0 instance.y__AT0@0))) (let ((.def_221 (not .def_220))) (let ((.def_222 (<= (- (/ 1 2)) instance.y__AT0@0))) (let ((.def_223 (and .def_222 .def_221))) (let ((.def_224 (and .def_223 .def_219))) (let ((.def_225 (= time__AT0@0 0.0))) (let ((.def_226 (and .def_225 .def_224))) (let ((.def_227 (and .def_226 .def_214 .def_171 .def_128 .def_85 .def_44 .def_1))) .def_227)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))
+(check-sat)
+(exit)
diff --git a/test/regress/regress2/nl/nt-lemmas-bad.smt2 b/test/regress/regress2/nl/nt-lemmas-bad.smt2
new file mode 100644
index 000000000..cea877c23
--- /dev/null
+++ b/test/regress/regress2/nl/nt-lemmas-bad.smt2
@@ -0,0 +1,29 @@
+; COMMAND-LINE: --nl-ext --nl-ext-tplanes
+; EXPECT: unsat
+(set-logic QF_NRA)
+(set-info :source |
+These benchmarks used in the paper:
+
+ Dejan Jovanovic and Leonardo de Moura. Solving Non-Linear Arithmetic.
+ In IJCAR 2012, published as LNCS volume 7364, pp. 339--354.
+
+The meti-tarski benchmarks are proof obligations extracted from the
+Meti-Tarski project, see:
+
+ B. Akbarpour and L. C. Paulson. MetiTarski: An automatic theorem prover
+ for real-valued special functions. Journal of Automated Reasoning,
+ 44(3):175-205, 2010.
+
+Submitted by Dejan Jovanovic for SMT-LIB.
+
+
+|)
+(set-info :smt-lib-version 2.0)
+(set-info :category "industrial")
+(set-info :status unsat)
+(declare-fun skoX () Real)
+(declare-fun skoY () Real)
+(declare-fun pi () Real)
+(assert (let ((?v_0 (* skoY skoY))) (and (<= (* skoY (+ (/ 11722184772546574330443595776 12341362258596589055135468582520347) (* pi (/ (- 20000116509245440) 3119868895908289175433)))) (* pi (- 20))) (and (<= 0 skoY) (and (not (<= (/ 31415927 10000000) pi)) (and (not (<= pi (/ 15707963 5000000))) (and (= ?v_0 (+ 277555600 (* skoX (* skoX (+ 15328072984 (* skoX (* skoX (+ 129098541721 (* skoX (* skoX (+ 21404723599 (* skoX (* skoX (+ 1024027285 (* skoX (* skoX 15132100)))))))))))))))) (= ?v_0 (+ 277555600 (* (/ 265 128) (* (/ 265 128) (+ 15328072984 (* (/ 265 128) (* (/ 265 128) (+ 129098541721 (* (/ 265 128) (* (/ 265 128) (+ 21404723599 (* (/ 265 128) (* (/ 265 128) (+ 1024027285 (* (/ 265 128) (* (/ 265 128) 15132100)))))))))))))))))))))))
+(check-sat)
+(exit)
diff --git a/test/regress/regress2/nl/siegel-nl-bases.smt2 b/test/regress/regress2/nl/siegel-nl-bases.smt2
new file mode 100644
index 000000000..cf6e3ab5e
--- /dev/null
+++ b/test/regress/regress2/nl/siegel-nl-bases.smt2
@@ -0,0 +1,22 @@
+; COMMAND-LINE: --nl-ext
+; EXPECT: unsat
+(set-logic QF_NIA)
+(declare-const n Int)
+(declare-const i1 Int)
+(declare-const i2 Int)
+(declare-const j1 Int)
+(declare-const j2 Int)
+(assert (>= n 0))
+(assert (not (= i1 i2)))
+(assert (<= 0 i1))
+(assert (<= i1 j1))
+(assert (< j1 n))
+(assert (<= 0 i2))
+(assert (<= i2 j2))
+(assert (< j2 n))
+(assert (or
+ (= (+ (* i1 n) j1) (+ (* i2 n) j2))
+ (= (+ (* i1 n) j1) (+ (* j2 n) i2))
+ (= (+ (* j1 n) i1) (+ (* i2 n) j2))
+ (= (+ (* j1 n) i1) (+ (* j2 n) i2))))
+(check-sat)
diff --git a/test/regress/regress2/quantifiers/AdditiveMethods_AdditiveMethods..ctor.smt2 b/test/regress/regress2/quantifiers/AdditiveMethods_AdditiveMethods..ctor.smt2
new file mode 100644
index 000000000..bbd32d988
--- /dev/null
+++ b/test/regress/regress2/quantifiers/AdditiveMethods_AdditiveMethods..ctor.smt2
@@ -0,0 +1,399 @@
+(set-logic AUFLIA)
+(set-info :source |
+ Boogie/Spec# benchmarks.
+ This benchmark was translated by Michal Moskal.
+|)
+(set-info :smt-lib-version 2.0)
+(set-info :category "industrial")
+(set-info :status unsat)
+(declare-fun boolIff (Int Int) Int)
+(declare-fun PeerGroupPlaceholder_ () Int)
+(declare-fun intGreater (Int Int) Int)
+(declare-fun IfThenElse_ (Int Int Int) Int)
+(declare-fun CONCVARSYM (Int) Int)
+(declare-fun SharingMode_Unshared_ () Int)
+(declare-fun OwnedResults.Mz_ (Int Int) Int)
+(declare-fun System.Reflection.IReflect () Int)
+(declare-fun int_m2147483648 () Int)
+(declare-fun System.Int32 () Int)
+(declare-fun intAtMost (Int Int) Int)
+(declare-fun multiply (Int Int) Int)
+(declare-fun Is_ (Int Int) Int)
+(declare-fun Smt.true () Int)
+(declare-fun ElementType_ (Int) Int)
+(declare-fun divide (Int Int) Int)
+(declare-fun int_m9223372036854775808 () Int)
+(declare-fun divides (Int Int) Int)
+(declare-fun select1 (Int Int) Int)
+(declare-fun store1 (Int Int Int) Int)
+(declare-fun select2 (Int Int Int) Int)
+(declare-fun nullObject () Int)
+(declare-fun store2 (Int Int Int Int) Int)
+(declare-fun modulo (Int Int) Int)
+(declare-fun ownerRef_ () Int)
+(declare-fun StructSet_ (Int Int Int) Int)
+(declare-fun AsDirectSubClass (Int Int) Int)
+(declare-fun OwnedResults.Pw_ (Int Int) Int)
+(declare-fun System.Boolean () Int)
+(declare-fun shl_ (Int Int) Int)
+(declare-fun DimLength_ (Int Int) Int)
+(declare-fun anyEqual (Int Int) Int)
+(declare-fun System.Array () Int)
+(declare-fun System.Reflection.ICustomAttributeProvider () Int)
+(declare-fun SharingMode_LockProtected_ () Int)
+(declare-fun IsMemberlessType_ (Int) Int)
+(declare-fun System.UInt16 () Int)
+(declare-fun ClassRepr (Int) Int)
+(declare-fun System.Runtime.InteropServices._Type () Int)
+(declare-fun block3927_correct () Int)
+(declare-fun boolNot (Int) Int)
+(declare-fun T () Int)
+(declare-fun System.Runtime.InteropServices._MemberInfo () Int)
+(declare-fun boolAnd (Int Int) Int)
+(declare-fun boolImplies (Int Int) Int)
+(declare-fun Unbox (Int) Int)
+(declare-fun intAtLeast (Int Int) Int)
+(declare-fun ownerFrame_ () Int)
+(declare-fun int_4294967295 () Int)
+(declare-fun IsAllocated (Int Int) Int)
+(declare-fun TypeName (Int) Int)
+(declare-fun AsPeerField (Int) Int)
+(declare-fun int_9223372036854775807 () Int)
+(declare-fun AsRepField (Int Int) Int)
+(declare-fun System.Reflection.MemberInfo () Int)
+(declare-fun ArrayCategoryValue_ () Int)
+(declare-fun is (Int Int) Int)
+(declare-fun InRange (Int Int) Bool)
+(declare-fun AsOwner (Int Int) Int)
+(declare-fun OwnedResults.Qz_System.Int32_ (Int Int Int) Int)
+(declare-fun System.Int64 () Int)
+(declare-fun or_ (Int Int) Int)
+(declare-fun As_ (Int Int) Int)
+(declare-fun exposeVersion_ () Int)
+(declare-fun System.Type () Int)
+(declare-fun intLess (Int Int) Int)
+(declare-fun AsImmutable_ (Int) Int)
+(declare-fun NonNullFieldsAreInitialized_ () Int)
+(declare-fun LBound_ (Int Int) Int)
+(declare-fun System.Object () Int)
+(declare-fun System.UInt32 () Int)
+(declare-fun localinv_ () Int)
+(declare-fun inv_ () Int)
+(declare-fun OwnedResults.Static0_ (Int) Int)
+(declare-fun Heap_0_ () Int)
+(declare-fun entry_correct () Int)
+(declare-fun OwnedResults.Pz_ (Int Int) Int)
+(declare-fun FirstConsistentOwner_ () Int)
+(declare-fun UnboxedType (Int) Int)
+(declare-fun AsRefField (Int Int) Int)
+(declare-fun System.Byte () Int)
+(declare-fun AdditiveMethods () Int)
+(declare-fun this () Int)
+(declare-fun int_2147483647 () Int)
+(declare-fun ArrayCategoryRef_ () Int)
+(declare-fun Heap_ () Int)
+(declare-fun OwnedResults.Qz_System.Int32_.1 (Int Int) Int)
+(declare-fun Length_ (Int) Int)
+(declare-fun AsNonNullRefField (Int Int) Int)
+(declare-fun Sub () Int)
+(declare-fun IsHeap (Int) Int)
+(declare-fun OwnedResults.Static1_ (Int) Int)
+(declare-fun Heap_1_ () Int)
+(declare-fun UBound_ (Int Int) Int)
+(declare-fun System.String () Int)
+(declare-fun System.String.IsInterned_System.String_notnull_ (Int) Int)
+(declare-fun UnknownRef_ () Int)
+(declare-fun Rank_ (Int) Int)
+(declare-fun RefArraySet (Int Int Int) Int)
+(declare-fun ValueArraySet (Int Int Int) Int)
+(declare-fun boolOr (Int Int) Int)
+(declare-fun sharingMode_ () Int)
+(declare-fun AdditiveMethods.amRep () Int)
+(declare-fun subtypes (Int Int) Bool)
+(declare-fun System.String.Equals_System.String_System.String_ (Int Int) Int)
+(declare-fun anyNeq (Int Int) Int)
+(declare-fun IsStaticField (Int) Int)
+(declare-fun IsNotNull_ (Int Int) Int)
+(declare-fun typeof_ (Int) Int)
+(declare-fun OwnedResults () Int)
+(declare-fun ArrayCategoryNonNullRef_ () Int)
+(declare-fun RefArrayGet (Int Int) Int)
+(declare-fun ValueArrayGet (Int Int) Int)
+(declare-fun TypeObject (Int) Int)
+(declare-fun and_ (Int Int) Int)
+(declare-fun OwnedResults.x () Int)
+(declare-fun block3910_correct () Int)
+(declare-fun BoxTester (Int Int) Int)
+(declare-fun OwnedResults.y () Int)
+(declare-fun OwnedResults.Static2_ () Int)
+(declare-fun IsValueType_ (Int) Int)
+(declare-fun AsRangeField (Int Int) Int)
+(declare-fun AdditiveMethods.amPeer () Int)
+(declare-fun System.SByte () Int)
+(declare-fun BeingConstructed_ () Int)
+(declare-fun FieldDependsOnFCO_ (Int Int Int) Int)
+(declare-fun NonNullRefArray (Int Int) Int)
+(declare-fun RefArray (Int Int) Int)
+(declare-fun ArrayCategory_ (Int) Int)
+(declare-fun AsPureObject_ (Int) Int)
+(declare-fun System.String.Equals_System.String_ (Int Int) Int)
+(declare-fun System.Int16 () Int)
+(declare-fun AsMutable_ (Int) Int)
+(declare-fun OwnedResults.Pw_.1 (Int) Int)
+(declare-fun System.Char () Int)
+(declare-fun System.UInt64 () Int)
+(declare-fun StructGet_ (Int Int) Int)
+(declare-fun OneClassDown (Int Int) Int)
+(declare-fun ArrayIndex (Int Int Int Int) Int)
+(declare-fun Box (Int Int) Int)
+(declare-fun int_18446744073709551615 () Int)
+(declare-fun shr_ (Int Int) Int)
+(declare-fun OwnedResults.Pz_.1 (Int) Int)
+(declare-fun IsDirectlyModifiableField (Int) Int)
+(declare-fun StringLength_ (Int) Int)
+(declare-fun allocated_ () Int)
+(declare-fun BaseClass_ (Int) Int)
+(declare-fun ValueArray (Int Int) Int)
+(declare-fun Smt.false () Int)
+(declare-fun IsImmutable_ (Int) Int)
+(declare-fun elements_ () Int)
+(declare-fun DeclType (Int) Int)
+(declare-fun ReallyLastGeneratedExit_correct () Int)
+(assert (distinct allocated_ elements_ inv_ localinv_ exposeVersion_ sharingMode_ SharingMode_Unshared_ SharingMode_LockProtected_ ownerRef_ ownerFrame_ PeerGroupPlaceholder_ ArrayCategoryValue_ ArrayCategoryRef_ ArrayCategoryNonNullRef_ System.Array System.Object System.Type BeingConstructed_ NonNullFieldsAreInitialized_ System.String FirstConsistentOwner_ System.SByte System.Byte System.Int16 System.UInt16 System.Int32 System.UInt32 System.Int64 System.UInt64 System.Char int_m2147483648 int_2147483647 int_4294967295 int_m9223372036854775808 int_9223372036854775807 int_18446744073709551615 UnknownRef_ OwnedResults.x AdditiveMethods.amPeer AdditiveMethods.amRep OwnedResults.y OwnedResults System.Runtime.InteropServices._Type System.Runtime.InteropServices._MemberInfo System.Reflection.IReflect Sub System.Reflection.ICustomAttributeProvider System.Boolean AdditiveMethods T System.Reflection.MemberInfo))
+(assert (= (DeclType exposeVersion_) System.Object))
+(assert (forall ((?c0 Int) (?c1 Int)) (! (=> (not (= ?c0 ?c1)) (not (= (ClassRepr ?c0) (ClassRepr ?c1)))) :pattern ((ClassRepr ?c0) (ClassRepr ?c1)) )))
+(assert (forall ((?T Int)) (not (subtypes (typeof_ (ClassRepr ?T)) System.Object))))
+(assert (forall ((?T Int)) (not (= (ClassRepr ?T) nullObject))))
+(assert (forall ((?T Int) (?h Int)) (! (=> (= (IsHeap ?h) Smt.true) (= (select2 ?h (ClassRepr ?T) ownerFrame_) PeerGroupPlaceholder_)) :pattern ((select2 ?h (ClassRepr ?T) ownerFrame_)) )))
+(assert (not (= (IsDirectlyModifiableField allocated_) Smt.true)))
+(assert (= (IsDirectlyModifiableField elements_) Smt.true))
+(assert (not (= (IsDirectlyModifiableField inv_) Smt.true)))
+(assert (not (= (IsDirectlyModifiableField localinv_) Smt.true)))
+(assert (not (= (IsDirectlyModifiableField ownerRef_) Smt.true)))
+(assert (not (= (IsDirectlyModifiableField ownerFrame_) Smt.true)))
+(assert (not (= (IsDirectlyModifiableField exposeVersion_) Smt.true)))
+(assert (not (= (IsStaticField allocated_) Smt.true)))
+(assert (not (= (IsStaticField elements_) Smt.true)))
+(assert (not (= (IsStaticField inv_) Smt.true)))
+(assert (not (= (IsStaticField localinv_) Smt.true)))
+(assert (not (= (IsStaticField exposeVersion_) Smt.true)))
+(assert (forall ((?A Int) (?i Int) (?x Int)) (= (ValueArrayGet (ValueArraySet ?A ?i ?x) ?i) ?x)))
+(assert (forall ((?A Int) (?i Int) (?j Int) (?x Int)) (=> (not (= ?i ?j)) (= (ValueArrayGet (ValueArraySet ?A ?i ?x) ?j) (ValueArrayGet ?A ?j)))))
+(assert (forall ((?A Int) (?i Int) (?x Int)) (= (RefArrayGet (RefArraySet ?A ?i ?x) ?i) ?x)))
+(assert (forall ((?A Int) (?i Int) (?j Int) (?x Int)) (=> (not (= ?i ?j)) (= (RefArrayGet (RefArraySet ?A ?i ?x) ?j) (RefArrayGet ?A ?j)))))
+(assert (forall ((?a Int) (?d Int) (?x Int) (?y Int) (|?x'| Int) (|?y'| Int)) (! (=> (= (ArrayIndex ?a ?d ?x ?y) (ArrayIndex ?a ?d |?x'| |?y'|)) (and (= ?x |?x'|) (= ?y |?y'|))) :pattern ((ArrayIndex ?a ?d ?x ?y) (ArrayIndex ?a ?d |?x'| |?y'|)) )))
+(assert (forall ((?a Int) (?T Int) (?i Int) (?r Int) (?heap Int)) (! (=> (and (= (IsHeap ?heap) Smt.true) (subtypes (typeof_ ?a) (RefArray ?T ?r))) (= (Is_ (RefArrayGet (select2 ?heap ?a elements_) ?i) ?T) Smt.true)) :pattern ((subtypes (typeof_ ?a) (RefArray ?T ?r)) (RefArrayGet (select2 ?heap ?a elements_) ?i)) )))
+(assert (forall ((?a Int) (?T Int) (?i Int) (?r Int) (?heap Int)) (! (=> (and (= (IsHeap ?heap) Smt.true) (subtypes (typeof_ ?a) (NonNullRefArray ?T ?r))) (= (IsNotNull_ (RefArrayGet (select2 ?heap ?a elements_) ?i) ?T) Smt.true)) :pattern ((subtypes (typeof_ ?a) (NonNullRefArray ?T ?r)) (RefArrayGet (select2 ?heap ?a elements_) ?i)) )))
+(assert (forall ((?a Int)) (<= 1 (Rank_ ?a))))
+(assert (forall ((?a Int) (?T Int) (?r Int)) (! (=> (and (not (= ?a nullObject)) (subtypes (typeof_ ?a) (RefArray ?T ?r))) (= (Rank_ ?a) ?r)) :pattern ((subtypes (typeof_ ?a) (RefArray ?T ?r))) )))
+(assert (forall ((?a Int) (?T Int) (?r Int)) (! (=> (and (not (= ?a nullObject)) (subtypes (typeof_ ?a) (NonNullRefArray ?T ?r))) (= (Rank_ ?a) ?r)) :pattern ((subtypes (typeof_ ?a) (NonNullRefArray ?T ?r))) )))
+(assert (forall ((?a Int) (?T Int) (?r Int)) (! (=> (and (not (= ?a nullObject)) (subtypes (typeof_ ?a) (ValueArray ?T ?r))) (= (Rank_ ?a) ?r)) :pattern ((subtypes (typeof_ ?a) (ValueArray ?T ?r))) )))
+(assert (forall ((?a Int)) (! (<= 0 (Length_ ?a)) :pattern ((Length_ ?a)) )))
+(assert (forall ((?a Int) (?i Int)) (<= 0 (DimLength_ ?a ?i))))
+(assert (forall ((?a Int)) (! (=> (= (Rank_ ?a) 1) (= (DimLength_ ?a 0) (Length_ ?a))) :pattern ((DimLength_ ?a 0)) )))
+(assert (forall ((?a Int) (?i Int)) (! (= (LBound_ ?a ?i) 0) :pattern ((LBound_ ?a ?i)) )))
+(assert (forall ((?a Int) (?i Int)) (! (= (UBound_ ?a ?i) (- (DimLength_ ?a ?i) 1)) :pattern ((UBound_ ?a ?i)) )))
+(assert (forall ((?T Int) (?ET Int) (?r Int)) (! (=> (subtypes ?T (ValueArray ?ET ?r)) (= (ArrayCategory_ ?T) ArrayCategoryValue_)) :pattern ((subtypes ?T (ValueArray ?ET ?r))) )))
+(assert (forall ((?T Int) (?ET Int) (?r Int)) (! (=> (subtypes ?T (RefArray ?ET ?r)) (= (ArrayCategory_ ?T) ArrayCategoryRef_)) :pattern ((subtypes ?T (RefArray ?ET ?r))) )))
+(assert (forall ((?T Int) (?ET Int) (?r Int)) (! (=> (subtypes ?T (NonNullRefArray ?ET ?r)) (= (ArrayCategory_ ?T) ArrayCategoryNonNullRef_)) :pattern ((subtypes ?T (NonNullRefArray ?ET ?r))) )))
+(assert (subtypes System.Array System.Object))
+(assert (forall ((?T Int) (?r Int)) (! (subtypes (ValueArray ?T ?r) System.Array) :pattern ((ValueArray ?T ?r)) )))
+(assert (forall ((?T Int) (?r Int)) (! (subtypes (RefArray ?T ?r) System.Array) :pattern ((RefArray ?T ?r)) )))
+(assert (forall ((?T Int) (?r Int)) (! (subtypes (NonNullRefArray ?T ?r) System.Array) :pattern ((NonNullRefArray ?T ?r)) )))
+(assert (forall ((?T Int) (?U Int) (?r Int)) (=> (subtypes ?U ?T) (subtypes (RefArray ?U ?r) (RefArray ?T ?r)))))
+(assert (forall ((?T Int) (?U Int) (?r Int)) (=> (subtypes ?U ?T) (subtypes (NonNullRefArray ?U ?r) (NonNullRefArray ?T ?r)))))
+(assert (forall ((?A Int) (?r Int)) (= (ElementType_ (ValueArray ?A ?r)) ?A)))
+(assert (forall ((?A Int) (?r Int)) (= (ElementType_ (RefArray ?A ?r)) ?A)))
+(assert (forall ((?A Int) (?r Int)) (= (ElementType_ (NonNullRefArray ?A ?r)) ?A)))
+(assert (forall ((?A Int) (?r Int) (?T Int)) (! (let ((?v_0 (ElementType_ ?T))) (=> (subtypes ?T (RefArray ?A ?r)) (and (= ?T (RefArray ?v_0 ?r)) (subtypes ?v_0 ?A)))) :pattern ((subtypes ?T (RefArray ?A ?r))) )))
+(assert (forall ((?A Int) (?r Int) (?T Int)) (! (let ((?v_0 (ElementType_ ?T))) (=> (subtypes ?T (NonNullRefArray ?A ?r)) (and (= ?T (NonNullRefArray ?v_0 ?r)) (subtypes ?v_0 ?A)))) :pattern ((subtypes ?T (NonNullRefArray ?A ?r))) )))
+(assert (forall ((?A Int) (?r Int) (?T Int)) (let ((?v_0 (ValueArray ?A ?r))) (=> (subtypes ?T ?v_0) (= ?T ?v_0)))))
+(assert (forall ((?A Int) (?r Int) (?T Int)) (let ((?v_0 (ElementType_ ?T))) (=> (subtypes (RefArray ?A ?r) ?T) (or (subtypes System.Array ?T) (and (= ?T (RefArray ?v_0 ?r)) (subtypes ?A ?v_0)))))))
+(assert (forall ((?A Int) (?r Int) (?T Int)) (let ((?v_0 (ElementType_ ?T))) (=> (subtypes (NonNullRefArray ?A ?r) ?T) (or (subtypes System.Array ?T) (and (= ?T (NonNullRefArray ?v_0 ?r)) (subtypes ?A ?v_0)))))))
+(assert (forall ((?A Int) (?r Int) (?T Int)) (let ((?v_0 (ValueArray ?A ?r))) (=> (subtypes ?v_0 ?T) (or (subtypes System.Array ?T) (= ?T ?v_0))))))
+(assert (forall ((?s Int) (?f Int) (?x Int)) (= (StructGet_ (StructSet_ ?s ?f ?x) ?f) ?x)))
+(assert (forall ((?s Int) (?f Int) (|?f'| Int) (?x Int)) (=> (not (= ?f |?f'|)) (= (StructGet_ (StructSet_ ?s ?f ?x) |?f'|) (StructGet_ ?s |?f'|)))))
+(assert (forall ((?A Int) (?B Int) (?C Int)) (! (=> (subtypes ?C (AsDirectSubClass ?B ?A)) (= (OneClassDown ?C ?A) ?B)) :pattern ((subtypes ?C (AsDirectSubClass ?B ?A))) )))
+(assert (forall ((?T Int)) (=> (= (IsValueType_ ?T) Smt.true) (and (forall ((?U Int)) (=> (subtypes ?T ?U) (= ?T ?U))) (forall ((?U Int)) (=> (subtypes ?U ?T) (= ?T ?U)))))))
+(assert (subtypes System.Type System.Object))
+(assert (forall ((?T Int)) (! (= (IsNotNull_ (TypeObject ?T) System.Type) Smt.true) :pattern ((TypeObject ?T)) )))
+(assert (forall ((?T Int)) (! (= (TypeName (TypeObject ?T)) ?T) :pattern ((TypeObject ?T)) )))
+(assert (forall ((?o Int) (?T Int)) (! (= (= (Is_ ?o ?T) Smt.true) (or (= ?o nullObject) (subtypes (typeof_ ?o) ?T))) :pattern ((Is_ ?o ?T)) )))
+(assert (forall ((?o Int) (?T Int)) (! (= (= (IsNotNull_ ?o ?T) Smt.true) (and (not (= ?o nullObject)) (= (Is_ ?o ?T) Smt.true))) :pattern ((IsNotNull_ ?o ?T)) )))
+(assert (forall ((?o Int) (?T Int)) (=> (= (Is_ ?o ?T) Smt.true) (= (As_ ?o ?T) ?o))))
+(assert (forall ((?o Int) (?T Int)) (=> (not (= (Is_ ?o ?T) Smt.true)) (= (As_ ?o ?T) nullObject))))
+(assert (forall ((?h Int) (?o Int)) (! (let ((?v_0 (typeof_ ?o))) (=> (and (= (IsHeap ?h) Smt.true) (not (= ?o nullObject)) (subtypes ?v_0 System.Array)) (and (= (select2 ?h ?o inv_) ?v_0) (= (select2 ?h ?o localinv_) ?v_0)))) :pattern ((select2 ?h ?o inv_)) )))
+(assert (forall ((?h Int) (?o Int) (?f Int)) (! (=> (and (= (IsHeap ?h) Smt.true) (= (select2 ?h ?o allocated_) Smt.true)) (= (IsAllocated ?h (select2 ?h ?o ?f)) Smt.true)) :pattern ((IsAllocated ?h (select2 ?h ?o ?f))) )))
+(assert (forall ((?h Int) (?o Int) (?f Int)) (! (=> (and (= (IsHeap ?h) Smt.true) (= (select2 ?h ?o allocated_) Smt.true)) (= (select2 ?h (select2 ?h ?o ?f) allocated_) Smt.true)) :pattern ((select2 ?h (select2 ?h ?o ?f) allocated_)) )))
+(assert (forall ((?h Int) (?s Int) (?f Int)) (! (=> (= (IsAllocated ?h ?s) Smt.true) (= (IsAllocated ?h (StructGet_ ?s ?f)) Smt.true)) :pattern ((IsAllocated ?h (StructGet_ ?s ?f))) )))
+(assert (forall ((?h Int) (?e Int) (?i Int)) (! (=> (= (IsAllocated ?h ?e) Smt.true) (= (IsAllocated ?h (RefArrayGet ?e ?i)) Smt.true)) :pattern ((IsAllocated ?h (RefArrayGet ?e ?i))) )))
+(assert (forall ((?h Int) (?e Int) (?i Int)) (! (=> (= (IsAllocated ?h ?e) Smt.true) (= (IsAllocated ?h (ValueArrayGet ?e ?i)) Smt.true)) :pattern ((IsAllocated ?h (ValueArrayGet ?e ?i))) )))
+(assert (forall ((?h Int) (?o Int)) (! (=> (= (IsAllocated ?h ?o) Smt.true) (= (select2 ?h ?o allocated_) Smt.true)) :pattern ((select2 ?h ?o allocated_)) )))
+(assert (forall ((?h Int) (?c Int)) (! (=> (= (IsHeap ?h) Smt.true) (= (select2 ?h (ClassRepr ?c) allocated_) Smt.true)) :pattern ((select2 ?h (ClassRepr ?c) allocated_)) )))
+(assert (forall ((?f Int) (?T Int)) (! (=> (= (AsNonNullRefField ?f ?T) ?f) (= (AsRefField ?f ?T) ?f)) :pattern ((AsNonNullRefField ?f ?T)) )))
+(assert (forall ((?h Int) (?o Int) (?f Int) (?T Int)) (! (=> (= (IsHeap ?h) Smt.true) (= (Is_ (select2 ?h ?o (AsRefField ?f ?T)) ?T) Smt.true)) :pattern ((select2 ?h ?o (AsRefField ?f ?T))) )))
+(assert (forall ((?h Int) (?o Int) (?f Int) (?T Int)) (! (=> (and (= (IsHeap ?h) Smt.true) (not (= ?o nullObject)) (or (not (= ?o BeingConstructed_)) (= (= (select2 ?h BeingConstructed_ NonNullFieldsAreInitialized_) Smt.true) true))) (not (= (select2 ?h ?o (AsNonNullRefField ?f ?T)) nullObject))) :pattern ((select2 ?h ?o (AsNonNullRefField ?f ?T))) )))
+(assert (forall ((?h Int) (?o Int) (?f Int) (?T Int)) (! (=> (= (IsHeap ?h) Smt.true) (InRange (select2 ?h ?o (AsRangeField ?f ?T)) ?T)) :pattern ((select2 ?h ?o (AsRangeField ?f ?T))) )))
+(assert (forall ((?o Int)) (! (not (= (IsMemberlessType_ (typeof_ ?o)) Smt.true)) :pattern ((IsMemberlessType_ (typeof_ ?o))) )))
+(assert (not (= (IsImmutable_ System.Object) Smt.true)))
+(assert (forall ((?T Int) (?U Int)) (! (=> (subtypes ?U (AsImmutable_ ?T)) (and (= (IsImmutable_ ?U) Smt.true) (= (AsImmutable_ ?U) ?U))) :pattern ((subtypes ?U (AsImmutable_ ?T))) )))
+(assert (forall ((?T Int) (?U Int)) (! (=> (subtypes ?U (AsMutable_ ?T)) (and (not (= (IsImmutable_ ?U) Smt.true)) (= (AsMutable_ ?U) ?U))) :pattern ((subtypes ?U (AsMutable_ ?T))) )))
+(assert (forall ((?o Int) (?T Int)) (! (=> (and (not (= ?o nullObject)) (not (= ?o BeingConstructed_)) (subtypes (typeof_ ?o) (AsImmutable_ ?T))) (forall ((?h Int)) (! (let ((?v_0 (typeof_ ?o))) (=> (= (IsHeap ?h) Smt.true) (and (= (select2 ?h ?o inv_) ?v_0) (= (select2 ?h ?o localinv_) ?v_0) (= (select2 ?h ?o ownerFrame_) PeerGroupPlaceholder_) (= (AsOwner ?o (select2 ?h ?o ownerRef_)) ?o) (forall ((?t Int)) (! (=> (= (AsOwner ?o (select2 ?h ?t ownerRef_)) ?o) (or (= ?t ?o) (not (= (select2 ?h ?t ownerFrame_) PeerGroupPlaceholder_)))) :pattern ((AsOwner ?o (select2 ?h ?t ownerRef_))) ))))) :pattern ((IsHeap ?h)) ))) :pattern ((subtypes (typeof_ ?o) (AsImmutable_ ?T))) )))
+(assert (forall ((?s Int)) (! (<= 0 (StringLength_ ?s)) :pattern ((StringLength_ ?s)) )))
+(assert (forall ((?h Int) (?o Int) (?f Int) (?T Int)) (! (let ((?v_0 (select2 ?h ?o (AsRepField ?f ?T)))) (=> (and (= (IsHeap ?h) Smt.true) (not (= ?v_0 nullObject))) (and (= (select2 ?h ?v_0 ownerRef_) ?o) (= (select2 ?h ?v_0 ownerFrame_) ?T)))) :pattern ((select2 ?h ?o (AsRepField ?f ?T))) )))
+(assert (forall ((?h Int) (?o Int) (?f Int)) (! (let ((?v_0 (select2 ?h ?o (AsPeerField ?f)))) (=> (and (= (IsHeap ?h) Smt.true) (not (= ?v_0 nullObject))) (and (= (select2 ?h ?v_0 ownerRef_) (select2 ?h ?o ownerRef_)) (= (select2 ?h ?v_0 ownerFrame_) (select2 ?h ?o ownerFrame_))))) :pattern ((select2 ?h ?o (AsPeerField ?f))) )))
+(assert (forall ((?h Int) (?o Int)) (let ((?v_0 (select2 ?h ?o ownerFrame_)) (?v_1 (select2 ?h ?o ownerRef_)) (?v_2 (typeof_ ?o))) (=> (and (= (IsHeap ?h) Smt.true) (not (= ?v_0 PeerGroupPlaceholder_)) (subtypes (select2 ?h ?v_1 inv_) ?v_0) (not (= (select2 ?h ?v_1 localinv_) (BaseClass_ ?v_0)))) (and (= (select2 ?h ?o inv_) ?v_2) (= (select2 ?h ?o localinv_) ?v_2))))))
+(assert (forall ((?o Int) (?f Int) (?h Int)) (! (let ((?v_0 (select2 ?h ?o ownerFrame_)) (?v_1 (select2 ?h ?o ownerRef_))) (=> (and (= (IsHeap ?h) Smt.true) (not (= ?o nullObject)) (= (= (select2 ?h ?o allocated_) Smt.true) true) (not (= ?v_0 PeerGroupPlaceholder_)) (subtypes (select2 ?h ?v_1 inv_) ?v_0) (not (= (select2 ?h ?v_1 localinv_) (BaseClass_ ?v_0)))) (= (select2 ?h ?o ?f) (FieldDependsOnFCO_ ?o ?f (select2 ?h (select2 ?h ?o FirstConsistentOwner_) exposeVersion_))))) :pattern ((select2 ?h (AsPureObject_ ?o) ?f)) )))
+(assert (forall ((?o Int) (?h Int)) (! (let ((?v_0 (select2 ?h ?o ownerFrame_)) (?v_1 (select2 ?h ?o ownerRef_)) (?v_2 (select2 ?h ?o FirstConsistentOwner_))) (let ((?v_3 (select2 ?h ?v_2 ownerFrame_)) (?v_4 (select2 ?h ?v_2 ownerRef_))) (=> (and (= (IsHeap ?h) Smt.true) (not (= ?o nullObject)) (= (= (select2 ?h ?o allocated_) Smt.true) true) (not (= ?v_0 PeerGroupPlaceholder_)) (subtypes (select2 ?h ?v_1 inv_) ?v_0) (not (= (select2 ?h ?v_1 localinv_) (BaseClass_ ?v_0)))) (and (not (= ?v_2 nullObject)) (= (= (select2 ?h ?v_2 allocated_) Smt.true) true) (or (= ?v_3 PeerGroupPlaceholder_) (not (subtypes (select2 ?h ?v_4 inv_) ?v_3)) (= (select2 ?h ?v_4 localinv_) (BaseClass_ ?v_3))))))) :pattern ((select2 ?h ?o FirstConsistentOwner_)) )))
+(assert (forall ((?x Int) (?p Int)) (! (= (Unbox (Box ?x ?p)) ?x) :pattern ((Unbox (Box ?x ?p))) )))
+(assert (forall ((?p Int)) (! (=> (= (IsValueType_ (UnboxedType ?p)) Smt.true) (forall ((?heap Int) (?x Int)) (let ((?v_0 (Box ?x ?p))) (let ((?v_1 (typeof_ ?v_0))) (=> (= (IsHeap ?heap) Smt.true) (and (= (select2 ?heap ?v_0 inv_) ?v_1) (= (select2 ?heap ?v_0 localinv_) ?v_1))))))) :pattern ((IsValueType_ (UnboxedType ?p))) )))
+(assert (forall ((?x Int) (?p Int)) (let ((?v_0 (Box ?x ?p))) (=> (and (subtypes (UnboxedType ?v_0) System.Object) (= ?v_0 ?p)) (= ?x ?p)))))
+(assert (forall ((?p Int) (?typ Int)) (! (= (= (UnboxedType ?p) ?typ) (not (= (BoxTester ?p ?typ) nullObject))) :pattern ((BoxTester ?p ?typ)) )))
+(assert (= (IsValueType_ System.SByte) Smt.true))
+(assert (= (IsValueType_ System.Byte) Smt.true))
+(assert (= (IsValueType_ System.Int16) Smt.true))
+(assert (= (IsValueType_ System.UInt16) Smt.true))
+(assert (= (IsValueType_ System.Int32) Smt.true))
+(assert (= (IsValueType_ System.UInt32) Smt.true))
+(assert (= (IsValueType_ System.Int64) Smt.true))
+(assert (= (IsValueType_ System.UInt64) Smt.true))
+(assert (= (IsValueType_ System.Char) Smt.true))
+(assert (< int_m9223372036854775808 int_m2147483648))
+(assert (< int_m2147483648 (- 0 100000)))
+(assert (< 100000 int_2147483647))
+(assert (< int_2147483647 int_4294967295))
+(assert (< int_4294967295 int_9223372036854775807))
+(assert (< int_9223372036854775807 int_18446744073709551615))
+(assert (forall ((?i Int)) (= (InRange ?i System.SByte) (and (<= (- 0 128) ?i) (< ?i 128)))))
+(assert (forall ((?i Int)) (= (InRange ?i System.Byte) (and (<= 0 ?i) (< ?i 256)))))
+(assert (forall ((?i Int)) (= (InRange ?i System.Int16) (and (<= (- 0 32768) ?i) (< ?i 32768)))))
+(assert (forall ((?i Int)) (= (InRange ?i System.UInt16) (and (<= 0 ?i) (< ?i 65536)))))
+(assert (forall ((?i Int)) (= (InRange ?i System.Int32) (and (<= int_m2147483648 ?i) (<= ?i int_2147483647)))))
+(assert (forall ((?i Int)) (= (InRange ?i System.UInt32) (and (<= 0 ?i) (<= ?i int_4294967295)))))
+(assert (forall ((?i Int)) (= (InRange ?i System.Int64) (and (<= int_m9223372036854775808 ?i) (<= ?i int_9223372036854775807)))))
+(assert (forall ((?i Int)) (= (InRange ?i System.UInt64) (and (<= 0 ?i) (<= ?i int_18446744073709551615)))))
+(assert (forall ((?i Int)) (= (InRange ?i System.Char) (and (<= 0 ?i) (< ?i 65536)))))
+(assert (forall ((?b Int) (?x Int) (?y Int)) (! (=> (= ?b Smt.true) (= (IfThenElse_ ?b ?x ?y) ?x)) :pattern ((IfThenElse_ ?b ?x ?y)) )))
+(assert (forall ((?b Int) (?x Int) (?y Int)) (! (=> (not (= ?b Smt.true)) (= (IfThenElse_ ?b ?x ?y) ?y)) :pattern ((IfThenElse_ ?b ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (= (modulo ?x ?y) (- ?x (multiply (divide ?x ?y) ?y))) :pattern ((modulo ?x ?y)) :pattern ((divide ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (let ((?v_0 (modulo ?x ?y))) (=> (and (<= 0 ?x) (< 0 ?y)) (and (<= 0 ?v_0) (< ?v_0 ?y)))) :pattern ((modulo ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (let ((?v_0 (modulo ?x ?y))) (=> (and (<= 0 ?x) (< ?y 0)) (and (<= 0 ?v_0) (< ?v_0 (- 0 ?y))))) :pattern ((modulo ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (let ((?v_0 (modulo ?x ?y))) (=> (and (<= ?x 0) (< 0 ?y)) (and (< (- 0 ?y) ?v_0) (<= ?v_0 0)))) :pattern ((modulo ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (let ((?v_0 (modulo ?x ?y))) (=> (and (<= ?x 0) (< ?y 0)) (and (< ?y ?v_0) (<= ?v_0 0)))) :pattern ((modulo ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (=> (and (<= 0 ?x) (<= 0 ?y)) (= (modulo (+ ?x ?y) ?y) (modulo ?x ?y)))))
+(assert (forall ((?x Int) (?y Int)) (=> (and (<= 0 ?x) (<= 0 ?y)) (= (modulo (+ ?y ?x) ?y) (modulo ?x ?y)))))
+(assert (forall ((?x Int) (?y Int)) (let ((?v_0 (- ?x ?y))) (=> (and (<= 0 ?v_0) (<= 0 ?y)) (= (modulo ?v_0 ?y) (modulo ?x ?y))))))
+(assert (forall ((?a Int) (?b Int) (?d Int)) (! (=> (and (<= 2 ?d) (= (modulo ?a ?d) (modulo ?b ?d)) (< ?a ?b)) (<= (+ ?a ?d) ?b)) :pattern ((modulo ?a ?d) (modulo ?b ?d)) )))
+(assert (forall ((?x Int) (?y Int)) (! (=> (or (<= 0 ?x) (<= 0 ?y)) (<= 0 (and_ ?x ?y))) :pattern ((and_ ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (let ((?v_0 (or_ ?x ?y))) (=> (and (<= 0 ?x) (<= 0 ?y)) (and (<= 0 ?v_0) (<= ?v_0 (+ ?x ?y))))) :pattern ((or_ ?x ?y)) )))
+(assert (forall ((?i Int)) (! (= (shl_ ?i 0) ?i) :pattern ((shl_ ?i 0)) )))
+(assert (forall ((?i Int) (?j Int)) (=> (<= 0 ?j) (= (shl_ ?i (+ ?j 1)) (* (shl_ ?i ?j) 2)))))
+(assert (forall ((?i Int)) (! (= (shr_ ?i 0) ?i) :pattern ((shr_ ?i 0)) )))
+(assert (forall ((?i Int) (?j Int)) (=> (<= 0 ?j) (= (shr_ ?i (+ ?j 1)) (divide (shr_ ?i ?j) 2)))))
+(assert (forall ((?a Int) (?b Int)) (! (= (= (System.String.Equals_System.String_ ?a ?b) Smt.true) (= (System.String.Equals_System.String_System.String_ ?a ?b) Smt.true)) :pattern ((System.String.Equals_System.String_ ?a ?b)) )))
+(assert (forall ((?a Int) (?b Int)) (! (= (= (System.String.Equals_System.String_System.String_ ?a ?b) Smt.true) (= (System.String.Equals_System.String_System.String_ ?b ?a) Smt.true)) :pattern ((System.String.Equals_System.String_System.String_ ?a ?b)) )))
+(assert (forall ((?a Int) (?b Int)) (! (=> (and (not (= ?a nullObject)) (not (= ?b nullObject)) (= (System.String.Equals_System.String_System.String_ ?a ?b) Smt.true)) (= (System.String.IsInterned_System.String_notnull_ ?a) (System.String.IsInterned_System.String_notnull_ ?b))) :pattern ((System.String.Equals_System.String_System.String_ ?a ?b)) )))
+(assert (not (= (IsStaticField AdditiveMethods.amPeer) Smt.true)))
+(assert (= (IsDirectlyModifiableField AdditiveMethods.amPeer) Smt.true))
+(assert (= (AsPeerField AdditiveMethods.amPeer) AdditiveMethods.amPeer))
+(assert (= (DeclType AdditiveMethods.amPeer) AdditiveMethods))
+(assert (= (AsRefField AdditiveMethods.amPeer AdditiveMethods) AdditiveMethods.amPeer))
+(assert (not (= (IsStaticField AdditiveMethods.amRep) Smt.true)))
+(assert (= (IsDirectlyModifiableField AdditiveMethods.amRep) Smt.true))
+(assert (= (AsRepField AdditiveMethods.amRep AdditiveMethods) AdditiveMethods.amRep))
+(assert (= (DeclType AdditiveMethods.amRep) AdditiveMethods))
+(assert (= (AsRefField AdditiveMethods.amRep AdditiveMethods) AdditiveMethods.amRep))
+(assert (not (= (IsStaticField OwnedResults.x) Smt.true)))
+(assert (= (IsDirectlyModifiableField OwnedResults.x) Smt.true))
+(assert (= (AsRepField OwnedResults.x OwnedResults) OwnedResults.x))
+(assert (= (DeclType OwnedResults.x) OwnedResults))
+(assert (= (AsRefField OwnedResults.x T) OwnedResults.x))
+(assert (not (= (IsStaticField OwnedResults.y) Smt.true)))
+(assert (= (IsDirectlyModifiableField OwnedResults.y) Smt.true))
+(assert (= (AsPeerField OwnedResults.y) OwnedResults.y))
+(assert (= (DeclType OwnedResults.y) OwnedResults))
+(assert (= (AsRefField OwnedResults.y T) OwnedResults.y))
+(assert (subtypes AdditiveMethods AdditiveMethods))
+(assert (= (BaseClass_ AdditiveMethods) System.Object))
+(assert (subtypes AdditiveMethods (BaseClass_ AdditiveMethods)))
+(assert (= (AsDirectSubClass AdditiveMethods (BaseClass_ AdditiveMethods)) AdditiveMethods))
+(assert (not (= (IsImmutable_ AdditiveMethods) Smt.true)))
+(assert (= (AsMutable_ AdditiveMethods) AdditiveMethods))
+(assert (subtypes System.Type System.Type))
+(assert (subtypes System.Reflection.MemberInfo System.Reflection.MemberInfo))
+(assert (= (BaseClass_ System.Reflection.MemberInfo) System.Object))
+(assert (subtypes System.Reflection.MemberInfo (BaseClass_ System.Reflection.MemberInfo)))
+(assert (= (AsDirectSubClass System.Reflection.MemberInfo (BaseClass_ System.Reflection.MemberInfo)) System.Reflection.MemberInfo))
+(assert (= (IsImmutable_ System.Reflection.MemberInfo) Smt.true))
+(assert (= (AsImmutable_ System.Reflection.MemberInfo) System.Reflection.MemberInfo))
+(assert (subtypes System.Reflection.ICustomAttributeProvider System.Object))
+(assert (= (IsMemberlessType_ System.Reflection.ICustomAttributeProvider) Smt.true))
+(assert (subtypes System.Reflection.MemberInfo System.Reflection.ICustomAttributeProvider))
+(assert (subtypes System.Runtime.InteropServices._MemberInfo System.Object))
+(assert (= (IsMemberlessType_ System.Runtime.InteropServices._MemberInfo) Smt.true))
+(assert (subtypes System.Reflection.MemberInfo System.Runtime.InteropServices._MemberInfo))
+(assert (= (IsMemberlessType_ System.Reflection.MemberInfo) Smt.true))
+(assert (= (BaseClass_ System.Type) System.Reflection.MemberInfo))
+(assert (subtypes System.Type (BaseClass_ System.Type)))
+(assert (= (AsDirectSubClass System.Type (BaseClass_ System.Type)) System.Type))
+(assert (= (IsImmutable_ System.Type) Smt.true))
+(assert (= (AsImmutable_ System.Type) System.Type))
+(assert (subtypes System.Runtime.InteropServices._Type System.Object))
+(assert (= (IsMemberlessType_ System.Runtime.InteropServices._Type) Smt.true))
+(assert (subtypes System.Type System.Runtime.InteropServices._Type))
+(assert (subtypes System.Reflection.IReflect System.Object))
+(assert (= (IsMemberlessType_ System.Reflection.IReflect) Smt.true))
+(assert (subtypes System.Type System.Reflection.IReflect))
+(assert (= (IsMemberlessType_ System.Type) Smt.true))
+(assert (subtypes Sub Sub))
+(assert (= (BaseClass_ Sub) AdditiveMethods))
+(assert (subtypes Sub (BaseClass_ Sub)))
+(assert (= (AsDirectSubClass Sub (BaseClass_ Sub)) Sub))
+(assert (not (= (IsImmutable_ Sub) Smt.true)))
+(assert (= (AsMutable_ Sub) Sub))
+(assert (subtypes OwnedResults OwnedResults))
+(assert (= (BaseClass_ OwnedResults) System.Object))
+(assert (subtypes OwnedResults (BaseClass_ OwnedResults)))
+(assert (= (AsDirectSubClass OwnedResults (BaseClass_ OwnedResults)) OwnedResults))
+(assert (not (= (IsImmutable_ OwnedResults) Smt.true)))
+(assert (= (AsMutable_ OwnedResults) OwnedResults))
+(assert (subtypes T T))
+(assert (= (BaseClass_ T) System.Object))
+(assert (subtypes T (BaseClass_ T)))
+(assert (= (AsDirectSubClass T (BaseClass_ T)) T))
+(assert (not (= (IsImmutable_ T) Smt.true)))
+(assert (= (AsMutable_ T) T))
+(assert (forall ((?Heap_ Int) (?this Int)) (! (let ((?v_0 (OwnedResults.Mz_ ?Heap_ ?this))) (=> (and (= (IsHeap ?Heap_) Smt.true) (forall ((?pc_ Int)) (let ((?v_1 (typeof_ ?pc_))) (=> (and (not (= ?pc_ nullObject)) (= (= (select2 ?Heap_ ?pc_ allocated_) Smt.true) true) (= (select2 ?Heap_ ?pc_ ownerRef_) (select2 ?Heap_ ?this ownerRef_)) (= (select2 ?Heap_ ?pc_ ownerFrame_) (select2 ?Heap_ ?this ownerFrame_))) (and (= (select2 ?Heap_ ?pc_ inv_) ?v_1) (= (select2 ?Heap_ ?pc_ localinv_) ?v_1)))))) (and (= (= (select2 ?Heap_ ?v_0 allocated_) Smt.true) true) (= (Is_ ?v_0 T) Smt.true) (or (= ?v_0 nullObject) (forall ((?pc_ Int)) (let ((?v_2 (typeof_ ?pc_))) (=> (and (not (= ?pc_ nullObject)) (= (= (select2 ?Heap_ ?pc_ allocated_) Smt.true) true) (= (select2 ?Heap_ ?pc_ ownerRef_) (select2 ?Heap_ ?v_0 ownerRef_)) (= (select2 ?Heap_ ?pc_ ownerFrame_) (select2 ?Heap_ ?v_0 ownerFrame_))) (and (= (select2 ?Heap_ ?pc_ inv_) ?v_2) (= (select2 ?Heap_ ?pc_ localinv_) ?v_2)))))) (= ?v_0 (select2 ?Heap_ ?this OwnedResults.x))))) :pattern ((OwnedResults.Mz_ ?Heap_ ?this)) )))
+(assert (forall ((?Heap_ Int) (?this Int)) (! (let ((?v_0 (OwnedResults.Pz_ ?Heap_ ?this))) (=> (and (= (IsHeap ?Heap_) Smt.true) (forall ((?pc_ Int)) (let ((?v_1 (typeof_ ?pc_))) (=> (and (not (= ?pc_ nullObject)) (= (= (select2 ?Heap_ ?pc_ allocated_) Smt.true) true) (= (select2 ?Heap_ ?pc_ ownerRef_) (select2 ?Heap_ ?this ownerRef_)) (= (select2 ?Heap_ ?pc_ ownerFrame_) (select2 ?Heap_ ?this ownerFrame_))) (and (= (select2 ?Heap_ ?pc_ inv_) ?v_1) (= (select2 ?Heap_ ?pc_ localinv_) ?v_1)))))) (and (= (= (select2 ?Heap_ ?v_0 allocated_) Smt.true) true) (= (Is_ ?v_0 T) Smt.true) (or (= ?v_0 nullObject) (forall ((?pc_ Int)) (let ((?v_2 (typeof_ ?pc_))) (=> (and (not (= ?pc_ nullObject)) (= (= (select2 ?Heap_ ?pc_ allocated_) Smt.true) true) (= (select2 ?Heap_ ?pc_ ownerRef_) (select2 ?Heap_ ?v_0 ownerRef_)) (= (select2 ?Heap_ ?pc_ ownerFrame_) (select2 ?Heap_ ?v_0 ownerFrame_))) (and (= (select2 ?Heap_ ?pc_ inv_) ?v_2) (= (select2 ?Heap_ ?pc_ localinv_) ?v_2)))))) (= ?v_0 (select2 ?Heap_ ?this OwnedResults.x))))) :pattern ((OwnedResults.Pz_ ?Heap_ ?this)) )))
+(assert (forall ((?Heap_ Int) (?this Int)) (! (let ((?v_0 (typeof_ ?this))) (=> (and (not (= ?this nullObject)) (subtypes ?v_0 OwnedResults) (= (select2 ?Heap_ ?this inv_) ?v_0) (= (select2 ?Heap_ ?this localinv_) ?v_0) (= (IsHeap ?Heap_) Smt.true) (= (= (select2 ?Heap_ ?this allocated_) Smt.true) true)) (= (OwnedResults.Pz_ ?Heap_ ?this) (OwnedResults.Pz_.1 (select2 ?Heap_ ?this exposeVersion_))))) :pattern ((OwnedResults.Pz_ ?Heap_ ?this)) )))
+(assert (forall ((?Heap_ Int) (?this Int)) (! (let ((?v_0 (OwnedResults.Pw_ ?Heap_ ?this))) (=> (and (= (IsHeap ?Heap_) Smt.true) (forall ((?pc_ Int)) (let ((?v_1 (typeof_ ?pc_))) (=> (and (not (= ?pc_ nullObject)) (= (= (select2 ?Heap_ ?pc_ allocated_) Smt.true) true) (= (select2 ?Heap_ ?pc_ ownerRef_) (select2 ?Heap_ ?this ownerRef_)) (= (select2 ?Heap_ ?pc_ ownerFrame_) (select2 ?Heap_ ?this ownerFrame_))) (and (= (select2 ?Heap_ ?pc_ inv_) ?v_1) (= (select2 ?Heap_ ?pc_ localinv_) ?v_1)))))) (and (= (= (select2 ?Heap_ ?v_0 allocated_) Smt.true) true) (= (Is_ ?v_0 T) Smt.true) (or (= ?v_0 nullObject) (forall ((?pc_ Int)) (let ((?v_2 (typeof_ ?pc_))) (=> (and (not (= ?pc_ nullObject)) (= (= (select2 ?Heap_ ?pc_ allocated_) Smt.true) true) (= (select2 ?Heap_ ?pc_ ownerRef_) (select2 ?Heap_ ?v_0 ownerRef_)) (= (select2 ?Heap_ ?pc_ ownerFrame_) (select2 ?Heap_ ?v_0 ownerFrame_))) (and (= (select2 ?Heap_ ?pc_ inv_) ?v_2) (= (select2 ?Heap_ ?pc_ localinv_) ?v_2)))))) (= ?v_0 (select2 ?Heap_ ?this OwnedResults.y))))) :pattern ((OwnedResults.Pw_ ?Heap_ ?this)) )))
+(assert (forall ((?Heap_ Int) (?this Int)) (! (let ((?v_0 (typeof_ ?this))) (=> (and (not (= ?this nullObject)) (subtypes ?v_0 OwnedResults) (= (select2 ?Heap_ ?this inv_) ?v_0) (= (select2 ?Heap_ ?this localinv_) ?v_0) (= (IsHeap ?Heap_) Smt.true) (= (= (select2 ?Heap_ ?this allocated_) Smt.true) true)) (= (OwnedResults.Pw_ ?Heap_ ?this) (OwnedResults.Pw_.1 (select2 ?Heap_ ?this exposeVersion_))))) :pattern ((OwnedResults.Pw_ ?Heap_ ?this)) )))
+(assert (forall ((?Heap_ Int) (?this Int) (?k_in Int)) (! (let ((?v_0 (OwnedResults.Qz_System.Int32_ ?Heap_ ?this ?k_in))) (=> (and (= (IsHeap ?Heap_) Smt.true) (InRange ?k_in System.Int32) (forall ((?pc_ Int)) (let ((?v_1 (typeof_ ?pc_))) (=> (and (not (= ?pc_ nullObject)) (= (= (select2 ?Heap_ ?pc_ allocated_) Smt.true) true) (= (select2 ?Heap_ ?pc_ ownerRef_) (select2 ?Heap_ ?this ownerRef_)) (= (select2 ?Heap_ ?pc_ ownerFrame_) (select2 ?Heap_ ?this ownerFrame_))) (and (= (select2 ?Heap_ ?pc_ inv_) ?v_1) (= (select2 ?Heap_ ?pc_ localinv_) ?v_1)))))) (and (= (= (select2 ?Heap_ ?v_0 allocated_) Smt.true) true) (= (Is_ ?v_0 T) Smt.true) (or (= ?v_0 nullObject) (forall ((?pc_ Int)) (let ((?v_2 (typeof_ ?pc_))) (=> (and (not (= ?pc_ nullObject)) (= (= (select2 ?Heap_ ?pc_ allocated_) Smt.true) true) (= (select2 ?Heap_ ?pc_ ownerRef_) (select2 ?Heap_ ?v_0 ownerRef_)) (= (select2 ?Heap_ ?pc_ ownerFrame_) (select2 ?Heap_ ?v_0 ownerFrame_))) (and (= (select2 ?Heap_ ?pc_ inv_) ?v_2) (= (select2 ?Heap_ ?pc_ localinv_) ?v_2))))))))) :pattern ((OwnedResults.Qz_System.Int32_ ?Heap_ ?this ?k_in)) )))
+(assert (forall ((?Heap_ Int) (?this Int) (?k_in Int)) (! (let ((?v_0 (typeof_ ?this))) (=> (and (not (= ?this nullObject)) (subtypes ?v_0 OwnedResults) (= (select2 ?Heap_ ?this inv_) ?v_0) (= (select2 ?Heap_ ?this localinv_) ?v_0) (= (IsHeap ?Heap_) Smt.true) (= (= (select2 ?Heap_ ?this allocated_) Smt.true) true)) (= (OwnedResults.Qz_System.Int32_ ?Heap_ ?this ?k_in) (OwnedResults.Qz_System.Int32_.1 (select2 ?Heap_ ?this exposeVersion_) ?k_in)))) :pattern ((OwnedResults.Qz_System.Int32_ ?Heap_ ?this ?k_in)) )))
+(assert (forall ((?U_ Int)) (! (=> (subtypes ?U_ System.Boolean) (= ?U_ System.Boolean)) :pattern ((subtypes ?U_ System.Boolean)) )))
+(assert (forall ((?Heap_ Int)) (! (let ((?v_0 (OwnedResults.Static0_ ?Heap_))) (=> (= (IsHeap ?Heap_) Smt.true) (and (= (= (select2 ?Heap_ ?v_0 allocated_) Smt.true) true) (= (IsNotNull_ ?v_0 T) Smt.true) (forall ((?pc_ Int)) (let ((?v_1 (typeof_ ?pc_))) (=> (and (not (= ?pc_ nullObject)) (= (= (select2 ?Heap_ ?pc_ allocated_) Smt.true) true) (= (select2 ?Heap_ ?pc_ ownerRef_) (select2 ?Heap_ ?v_0 ownerRef_)) (= (select2 ?Heap_ ?pc_ ownerFrame_) (select2 ?Heap_ ?v_0 ownerFrame_))) (and (= (select2 ?Heap_ ?pc_ inv_) ?v_1) (= (select2 ?Heap_ ?pc_ localinv_) ?v_1)))))))) :pattern ((OwnedResults.Static0_ ?Heap_)) )))
+(assert (forall ((?Heap_ Int)) (! (let ((?v_0 (OwnedResults.Static1_ ?Heap_))) (=> (= (IsHeap ?Heap_) Smt.true) (and (= (= (select2 ?Heap_ ?v_0 allocated_) Smt.true) true) (= (IsNotNull_ ?v_0 T) Smt.true) (forall ((?pc_ Int)) (let ((?v_1 (typeof_ ?pc_))) (=> (and (not (= ?pc_ nullObject)) (= (= (select2 ?Heap_ ?pc_ allocated_) Smt.true) true) (= (select2 ?Heap_ ?pc_ ownerRef_) (select2 ?Heap_ ?v_0 ownerRef_)) (= (select2 ?Heap_ ?pc_ ownerFrame_) (select2 ?Heap_ ?v_0 ownerFrame_))) (and (= (select2 ?Heap_ ?pc_ inv_) ?v_1) (= (select2 ?Heap_ ?pc_ localinv_) ?v_1)))))))) :pattern ((OwnedResults.Static1_ ?Heap_)) )))
+(assert (=> true (= (IsNotNull_ OwnedResults.Static2_ T) Smt.true)))
+(assert (forall ((?A Int) (?i Int) (?v Int)) (= (select1 (store1 ?A ?i ?v) ?i) ?v)))
+(assert (forall ((?A Int) (?i Int) (?j Int) (?v Int)) (=> (not (= ?i ?j)) (= (select1 (store1 ?A ?i ?v) ?j) (select1 ?A ?j)))))
+(assert (forall ((?A Int) (?o Int) (?f Int) (?v Int)) (= (select2 (store2 ?A ?o ?f ?v) ?o ?f) ?v)))
+(assert (forall ((?A Int) (?o Int) (?f Int) (?p Int) (?g Int) (?v Int)) (=> (not (= ?o ?p)) (= (select2 (store2 ?A ?o ?f ?v) ?p ?g) (select2 ?A ?p ?g)))))
+(assert (forall ((?A Int) (?o Int) (?f Int) (?p Int) (?g Int) (?v Int)) (=> (not (= ?f ?g)) (= (select2 (store2 ?A ?o ?f ?v) ?p ?g) (select2 ?A ?p ?g)))))
+(assert (forall ((?x Int) (?y Int)) (= (= (boolIff ?x ?y) Smt.true) (= (= ?x Smt.true) (= ?y Smt.true)))))
+(assert (forall ((?x Int) (?y Int)) (= (= (boolImplies ?x ?y) Smt.true) (=> (= ?x Smt.true) (= ?y Smt.true)))))
+(assert (forall ((?x Int) (?y Int)) (= (= (boolAnd ?x ?y) Smt.true) (and (= ?x Smt.true) (= ?y Smt.true)))))
+(assert (forall ((?x Int) (?y Int)) (= (= (boolOr ?x ?y) Smt.true) (or (= ?x Smt.true) (= ?y Smt.true)))))
+(assert (forall ((?x Int)) (! (= (= (boolNot ?x) Smt.true) (not (= ?x Smt.true))) :pattern ((boolNot ?x)) )))
+(assert (forall ((?x Int) (?y Int)) (= (= (anyEqual ?x ?y) Smt.true) (= ?x ?y))))
+(assert (forall ((?x Int) (?y Int)) (! (= (= (anyNeq ?x ?y) Smt.true) (not (= ?x ?y))) :pattern ((anyNeq ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (= (= (intLess ?x ?y) Smt.true) (< ?x ?y))))
+(assert (forall ((?x Int) (?y Int)) (= (= (intAtMost ?x ?y) Smt.true) (<= ?x ?y))))
+(assert (forall ((?x Int) (?y Int)) (= (= (intAtLeast ?x ?y) Smt.true) (>= ?x ?y))))
+(assert (forall ((?x Int) (?y Int)) (= (= (intGreater ?x ?y) Smt.true) (> ?x ?y))))
+(assert (distinct Smt.false Smt.true))
+(assert (forall ((?t Int)) (! (subtypes ?t ?t) :pattern ((subtypes ?t ?t)) )))
+(assert (forall ((?t Int) (?u Int) (?v Int)) (! (=> (and (subtypes ?t ?u) (subtypes ?u ?v)) (subtypes ?t ?v)) :pattern ((subtypes ?t ?u) (subtypes ?u ?v)) )))
+(assert (forall ((?t Int) (?u Int)) (! (=> (and (subtypes ?t ?u) (subtypes ?u ?t)) (= ?t ?u)) :pattern ((subtypes ?t ?u) (subtypes ?u ?t)) )))
+(assert (let ((?v_1 (select2 Heap_ this ownerRef_)) (?v_2 (select2 Heap_ this ownerFrame_))) (let ((?v_0 (= ?v_2 PeerGroupPlaceholder_)) (?v_6 (typeof_ this)) (?v_3 (not (= this nullObject))) (?v_4 (select2 Heap_0_ this ownerFrame_)) (?v_5 (select2 Heap_0_ this ownerRef_)) (?v_7 (= (select2 Heap_0_ this inv_) System.Object))) (let ((?v_8 (= (select2 Heap_0_ this localinv_) ?v_6)) (?v_9 (forall ((?p_ Int)) (let ((?v_23 (typeof_ ?p_))) (=> (and (not (= ?p_ nullObject)) (= (= (select2 Heap_0_ ?p_ allocated_) Smt.true) true) (= (select2 Heap_0_ ?p_ ownerRef_) this) (= (select2 Heap_0_ ?p_ ownerFrame_) AdditiveMethods)) (and (= (select2 Heap_0_ ?p_ inv_) ?v_23) (= (select2 Heap_0_ ?p_ localinv_) ?v_23)))))) (?v_10 (forall ((?o_ Int)) (=> (and (not (= ?o_ nullObject)) (= (= (select2 Heap_ ?o_ allocated_) Smt.true) true)) (and (= (select2 Heap_ ?o_ ownerRef_) (select2 Heap_1_ ?o_ ownerRef_)) (= (select2 Heap_ ?o_ ownerFrame_) (select2 Heap_1_ ?o_ ownerFrame_)))))) (?v_11 (= (select2 Heap_1_ this sharingMode_) SharingMode_Unshared_)) (?v_15 (select2 Heap_1_ this ownerRef_))) (let ((?v_12 (= ?v_15 ?v_1)) (?v_14 (select2 Heap_1_ this ownerFrame_))) (let ((?v_13 (= ?v_14 ?v_2)) (?v_16 (or (= ?v_14 PeerGroupPlaceholder_) (not (subtypes (select2 Heap_1_ ?v_15 inv_) ?v_14)) (= (select2 Heap_1_ ?v_15 localinv_) (BaseClass_ ?v_14)))) (?v_17 (= (select2 Heap_1_ this inv_) AdditiveMethods)) (?v_18 (= (select2 Heap_1_ this localinv_) ?v_6)) (?v_19 (= ReallyLastGeneratedExit_correct Smt.true)) (?v_20 (= block3927_correct Smt.true)) (?v_21 (= block3910_correct Smt.true)) (?v_22 (= entry_correct Smt.true))) (not (=> (=> (=> true (=> (= (IsHeap Heap_) Smt.true) (=> (= BeingConstructed_ this) (=> (forall ((?o_ Int)) (=> (and (= (select2 Heap_ ?o_ ownerRef_) ?v_1) (= (select2 Heap_ ?o_ ownerFrame_) ?v_2)) (= ?o_ this))) (=> (and (= ?v_1 this) ?v_0) (=> (forall ((?o_ Int)) (=> (not (= ?o_ this)) (not (= (select2 Heap_ ?o_ ownerRef_) this)))) (=> true (=> (= (IsNotNull_ this AdditiveMethods) Smt.true) (=> (= (= (select2 Heap_ this allocated_) Smt.true) true) (=> (and (or ?v_0 (not (subtypes (select2 Heap_ ?v_1 inv_) ?v_2)) (= (select2 Heap_ ?v_1 localinv_) (BaseClass_ ?v_2))) (= (select2 Heap_ this inv_) System.Object) (= (select2 Heap_ this localinv_) ?v_6)) (=> (= (select2 Heap_ this AdditiveMethods.amPeer) nullObject) (=> (= (select2 Heap_ this AdditiveMethods.amRep) nullObject) (=> true (=> (=> (=> true (=> true (=> true (=> (=> (=> true (=> true (and ?v_3 (=> ?v_3 (=> (= (IsHeap Heap_0_) Smt.true) (=> (and (or (= ?v_4 PeerGroupPlaceholder_) (not (subtypes (select2 Heap_0_ ?v_5 inv_) ?v_4)) (= (select2 Heap_0_ ?v_5 localinv_) (BaseClass_ ?v_4))) ?v_7 ?v_8) (=> (and (= ?v_5 ?v_1) (= ?v_4 ?v_2)) (=> (= (select2 Heap_0_ this sharingMode_) SharingMode_Unshared_) (=> (forall ((?o_ Int)) (let ((?v_24 (typeof_ ?o_))) (=> (and (not (= ?o_ nullObject)) (= (= (select2 Heap_ ?o_ allocated_) Smt.true) (not true)) (= (= (select2 Heap_0_ ?o_ allocated_) Smt.true) true)) (and (= (select2 Heap_0_ ?o_ inv_) ?v_24) (= (select2 Heap_0_ ?o_ localinv_) ?v_24))))) (=> (forall ((?o_ Int)) (! (let ((?v_25 (select2 Heap_ ?o_ FirstConsistentOwner_))) (=> (= (select2 Heap_ ?v_25 exposeVersion_) (select2 Heap_0_ ?v_25 exposeVersion_)) (= ?v_25 (select2 Heap_0_ ?o_ FirstConsistentOwner_)))) :pattern ((select2 Heap_0_ ?o_ FirstConsistentOwner_)) )) (=> (forall ((?o_ Int)) (=> (and (not (= ?o_ nullObject)) (= (= (select2 Heap_ ?o_ allocated_) Smt.true) true)) (and (= (select2 Heap_ ?o_ ownerRef_) (select2 Heap_0_ ?o_ ownerRef_)) (= (select2 Heap_ ?o_ ownerFrame_) (select2 Heap_0_ ?o_ ownerFrame_))))) (=> (forall ((?o_ Int) (?f_ Int)) (! (let ((?v_26 (select2 Heap_ ?o_ ownerFrame_)) (?v_27 (select2 Heap_ ?o_ ownerRef_))) (=> (and (not (= ?f_ inv_)) (not (= ?f_ localinv_)) (not (= ?f_ FirstConsistentOwner_)) (or (not (= (IsStaticField ?f_) Smt.true)) (not (= (IsDirectlyModifiableField ?f_) Smt.true))) (not (= ?o_ nullObject)) (= (= (select2 Heap_ ?o_ allocated_) Smt.true) true) (or (= ?v_26 PeerGroupPlaceholder_) (not (subtypes (select2 Heap_ ?v_27 inv_) ?v_26)) (= (select2 Heap_ ?v_27 localinv_) (BaseClass_ ?v_26))) (or (not (= ?o_ this)) (not (subtypes System.Object (DeclType ?f_)))) true) (= (select2 Heap_ ?o_ ?f_) (select2 Heap_0_ ?o_ ?f_)))) :pattern ((select2 Heap_0_ ?o_ ?f_)) )) (=> (forall ((?o_ Int)) (or (= ?o_ this) (and (= (select2 Heap_ ?o_ inv_) (select2 Heap_0_ ?o_ inv_)) (= (select2 Heap_ ?o_ localinv_) (select2 Heap_0_ ?o_ localinv_))) (= (= (select2 Heap_ ?o_ allocated_) Smt.true) (not true)))) (=> (and (forall ((?o_ Int)) (=> (= (= (select2 Heap_ ?o_ allocated_) Smt.true) true) (= (= (select2 Heap_0_ ?o_ allocated_) Smt.true) true))) (forall ((?ot_ Int)) (let ((?v_28 (select2 Heap_ ?ot_ ownerFrame_))) (=> (and (= (= (select2 Heap_ ?ot_ allocated_) Smt.true) true) (not (= ?v_28 PeerGroupPlaceholder_))) (and (= (select2 Heap_0_ ?ot_ ownerRef_) (select2 Heap_ ?ot_ ownerRef_)) (= (select2 Heap_0_ ?ot_ ownerFrame_) ?v_28))))) (= (= (select2 Heap_ BeingConstructed_ NonNullFieldsAreInitialized_) Smt.true) (= (select2 Heap_0_ BeingConstructed_ NonNullFieldsAreInitialized_) Smt.true))) (=> (forall ((?o_ Int)) (or (= ?o_ this) (= (select2 Heap_ ?o_ sharingMode_) (select2 Heap_0_ ?o_ sharingMode_)))) (and ?v_3 (=> ?v_3 (and ?v_7 ?v_8 (=> (and ?v_7 ?v_8) (and ?v_9 (=> ?v_9 (=> (= Heap_1_ (store2 Heap_0_ this inv_ AdditiveMethods)) (=> (= (IsHeap Heap_1_) Smt.true) (=> true (=> (=> (=> true (and ?v_10 (=> ?v_10 (and ?v_11 (=> ?v_11 (and ?v_12 ?v_13 (=> (and ?v_12 ?v_13) (and ?v_16 ?v_17 ?v_18 (=> (and ?v_16 ?v_17 ?v_18) (=> true true)))))))))) ?v_19) ?v_19))))))))))))))))))))))))) ?v_20) ?v_20)))) ?v_21) ?v_21)))))))))))))) ?v_22) ?v_22))))))))
+(check-sat)
+(exit)
diff --git a/test/regress/regress2/quantifiers/ForElimination-scala-9.smt2 b/test/regress/regress2/quantifiers/ForElimination-scala-9.smt2
new file mode 100644
index 000000000..e8a784fc7
--- /dev/null
+++ b/test/regress/regress2/quantifiers/ForElimination-scala-9.smt2
@@ -0,0 +1,31 @@
+; COMMAND-LINE: --finite-model-find --uf-ss=no-minimal --lang=smt2.5
+; EXPECT: unsat
+(set-logic ALL_SUPPORTED)
+(declare-datatypes () ((Statement!1556 (Assign!1557 (varID!1558 (_ BitVec 32)) (expr!1559 Expression!1578)) (Block!1560 (body!1561 List!1617)) (For!1562 (init!1563 Statement!1556) (expr!1564 Expression!1578) (step!1565 Statement!1556) (body!1566 Statement!1556)) (IfThenElse!1567 (expr!1568 Expression!1578) (then!1569 Statement!1556) (elze!1570 Statement!1556)) (Print!1571 (msg!1572 (_ BitVec 32)) (varID!1573 (_ BitVec 32))) (Skip!1574) (While!1575 (expr!1576 Expression!1578) (body!1577 Statement!1556)))
+(Expression!1578 (And!1579 (lhs!1580 Expression!1578) (rhs!1581 Expression!1578)) (Division!1582 (lhs!1583 Expression!1578) (rhs!1584 Expression!1578)) (Equals!1585 (lhs!1586 Expression!1578) (rhs!1587 Expression!1578)) (GreaterThan!1588 (lhs!1589 Expression!1578) (rhs!1590 Expression!1578)) (IntLiteral!1591 (value!1592 (_ BitVec 32))) (LessThan!1593 (lhs!1594 Expression!1578) (rhs!1595 Expression!1578)) (Minus!1596 (lhs!1597 Expression!1578) (rhs!1598 Expression!1578)) (Modulo!1599 (lhs!1600 Expression!1578) (rhs!1601 Expression!1578)) (Neg!1602 (expr!1603 Expression!1578)) (Not!1604 (expr!1605 Expression!1578)) (Or!1606 (lhs!1607 Expression!1578) (rhs!1608 Expression!1578)) (Plus!1609 (lhs!1610 Expression!1578) (rhs!1611 Expression!1578)) (Times!1612 (lhs!1613 Expression!1578) (rhs!1614 Expression!1578)) (Var!1615 (varID!1616 (_ BitVec 32))))
+(List!1617 (Cons!1618 (head!1619 Statement!1556) (tail!1620 List!1617)) (Nil!1621))
+))
+(declare-fun error_value!1622 () Bool)
+(declare-fun ifree (Statement!1556) Bool)
+(declare-fun isForFreeList!223 (List!1617) Bool)
+(declare-fun error_value!1623 () List!1617)
+(declare-fun efll (List!1617) List!1617)
+(declare-fun efl (Statement!1556) Statement!1556)
+(declare-sort I_ifree 0)
+(set-info :notes "ifree_arg_0_1 is op created during fun def fmf")
+(declare-fun ifree_arg_0_1 (I_ifree) Statement!1556)
+(declare-sort I_isForFreeList!223 0)
+(set-info :notes "isForFreeList!223_arg_0_2 is op created during fun def fmf")
+(declare-fun isForFreeList!223_arg_0_2 (I_isForFreeList!223) List!1617)
+(declare-sort I_efll 0)
+(set-info :notes "efll_arg_0_3 is op created during fun def fmf")
+(declare-fun efll_arg_0_3 (I_efll) List!1617)
+(declare-sort I_efl 0)
+(set-info :notes "efl_arg_0_4 is op created during fun def fmf")
+(declare-fun efl_arg_0_4 (I_efl) Statement!1556)
+(assert (forall ((?i I_ifree)) (and (= (ifree (ifree_arg_0_1 ?i)) (ite (is-Block!1560 (ifree_arg_0_1 ?i)) (isForFreeList!223 (body!1561 (ifree_arg_0_1 ?i))) (ite (is-IfThenElse!1567 (ifree_arg_0_1 ?i)) (and (ifree (elze!1570 (ifree_arg_0_1 ?i))) (ifree (then!1569 (ifree_arg_0_1 ?i)))) (ite (is-While!1575 (ifree_arg_0_1 ?i)) (ifree (body!1577 (ifree_arg_0_1 ?i))) (not (is-For!1562 (ifree_arg_0_1 ?i))))))) (ite (is-Block!1560 (ifree_arg_0_1 ?i)) (not (forall ((?z I_isForFreeList!223)) (not (= (isForFreeList!223_arg_0_2 ?z) (body!1561 (ifree_arg_0_1 ?i)))) )) (ite (is-IfThenElse!1567 (ifree_arg_0_1 ?i)) (and (not (forall ((?z I_ifree)) (not (= (ifree_arg_0_1 ?z) (elze!1570 (ifree_arg_0_1 ?i)))) )) (not (forall ((?z I_ifree)) (not (= (ifree_arg_0_1 ?z) (then!1569 (ifree_arg_0_1 ?i)))) ))) (ite (is-While!1575 (ifree_arg_0_1 ?i)) (not (forall ((?z I_ifree)) (not (= (ifree_arg_0_1 ?z) (body!1577 (ifree_arg_0_1 ?i)))) )) true)))) ))
+(assert (forall ((?i I_isForFreeList!223)) (and (= (isForFreeList!223 (isForFreeList!223_arg_0_2 ?i)) (ite (is-Nil!1621 (isForFreeList!223_arg_0_2 ?i)) true (ite (is-Cons!1618 (isForFreeList!223_arg_0_2 ?i)) (and (isForFreeList!223 (tail!1620 (isForFreeList!223_arg_0_2 ?i))) (ifree (head!1619 (isForFreeList!223_arg_0_2 ?i)))) error_value!1622))) (ite (is-Nil!1621 (isForFreeList!223_arg_0_2 ?i)) true (ite (is-Cons!1618 (isForFreeList!223_arg_0_2 ?i)) (and (not (forall ((?z I_isForFreeList!223)) (not (= (isForFreeList!223_arg_0_2 ?z) (tail!1620 (isForFreeList!223_arg_0_2 ?i)))) )) (not (forall ((?z I_ifree)) (not (= (ifree_arg_0_1 ?z) (head!1619 (isForFreeList!223_arg_0_2 ?i)))) ))) true))) ))
+(assert (forall ((?i I_efll)) (and (= (efll (efll_arg_0_3 ?i)) (ite (is-Nil!1621 (efll_arg_0_3 ?i)) Nil!1621 (ite (is-Cons!1618 (efll_arg_0_3 ?i)) (Cons!1618 (efl (head!1619 (efll_arg_0_3 ?i))) (efll (tail!1620 (efll_arg_0_3 ?i)))) error_value!1623))) (ite (is-Nil!1621 (efll_arg_0_3 ?i)) true (ite (is-Cons!1618 (efll_arg_0_3 ?i)) (and (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (head!1619 (efll_arg_0_3 ?i)))) )) (not (forall ((?z I_efll)) (not (= (efll_arg_0_3 ?z) (tail!1620 (efll_arg_0_3 ?i)))) ))) true))) ))
+(assert (forall ((?i I_efl)) (and (= (efl (efl_arg_0_4 ?i)) (ite (is-Block!1560 (efl_arg_0_4 ?i)) (Block!1560 (efll (body!1561 (efl_arg_0_4 ?i)))) (ite (is-IfThenElse!1567 (efl_arg_0_4 ?i)) (IfThenElse!1567 (expr!1568 (efl_arg_0_4 ?i)) (efl (then!1569 (efl_arg_0_4 ?i))) (efl (elze!1570 (efl_arg_0_4 ?i)))) (ite (is-While!1575 (efl_arg_0_4 ?i)) (While!1575 (expr!1576 (efl_arg_0_4 ?i)) (efl (body!1577 (efl_arg_0_4 ?i)))) (ite (is-For!1562 (efl_arg_0_4 ?i)) (Block!1560 (Cons!1618 (efl (init!1563 (efl_arg_0_4 ?i))) (Cons!1618 (While!1575 (expr!1564 (efl_arg_0_4 ?i)) (Block!1560 (Cons!1618 (efl (body!1566 (efl_arg_0_4 ?i))) (Cons!1618 (efl (step!1565 (efl_arg_0_4 ?i))) Nil!1621)))) Nil!1621))) (efl_arg_0_4 ?i)))))) (ite (is-Block!1560 (efl_arg_0_4 ?i)) (not (forall ((?z I_efll)) (not (= (efll_arg_0_3 ?z) (body!1561 (efl_arg_0_4 ?i)))) )) (ite (is-IfThenElse!1567 (efl_arg_0_4 ?i)) (and (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (then!1569 (efl_arg_0_4 ?i)))) )) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (elze!1570 (efl_arg_0_4 ?i)))) ))) (ite (is-While!1575 (efl_arg_0_4 ?i)) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (body!1577 (efl_arg_0_4 ?i)))) )) (ite (is-For!1562 (efl_arg_0_4 ?i)) (and (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (init!1563 (efl_arg_0_4 ?i)))) )) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (body!1566 (efl_arg_0_4 ?i)))) )) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (step!1565 (efl_arg_0_4 ?i)))) ))) true))))) ))
+(assert (exists ((stat!216 Statement!1556)) (not (=> (and (and (and (and (is-For!1562 stat!216) (is-For!1562 stat!216)) (and (ifree (ite (is-Block!1560 (init!1563 stat!216)) (Block!1560 (efll (body!1561 (init!1563 stat!216)))) (ite (is-IfThenElse!1567 (init!1563 stat!216)) (IfThenElse!1567 (expr!1568 (init!1563 stat!216)) (efl (then!1569 (init!1563 stat!216))) (efl (elze!1570 (init!1563 stat!216)))) (ite (is-While!1575 (init!1563 stat!216)) (While!1575 (expr!1576 (init!1563 stat!216)) (efl (body!1577 (init!1563 stat!216)))) (ite (is-For!1562 (init!1563 stat!216)) (Block!1560 (Cons!1618 (efl (init!1563 (init!1563 stat!216))) (Cons!1618 (While!1575 (expr!1564 (init!1563 stat!216)) (Block!1560 (Cons!1618 (efl (body!1566 (init!1563 stat!216))) (Cons!1618 (efl (step!1565 (init!1563 stat!216))) Nil!1621)))) Nil!1621))) (init!1563 stat!216)))))) (not (forall ((?z I_ifree)) (not (= (ifree_arg_0_1 ?z) (ite (is-Block!1560 (init!1563 stat!216)) (Block!1560 (efll (body!1561 (init!1563 stat!216)))) (ite (is-IfThenElse!1567 (init!1563 stat!216)) (IfThenElse!1567 (expr!1568 (init!1563 stat!216)) (efl (then!1569 (init!1563 stat!216))) (efl (elze!1570 (init!1563 stat!216)))) (ite (is-While!1575 (init!1563 stat!216)) (While!1575 (expr!1576 (init!1563 stat!216)) (efl (body!1577 (init!1563 stat!216)))) (ite (is-For!1562 (init!1563 stat!216)) (Block!1560 (Cons!1618 (efl (init!1563 (init!1563 stat!216))) (Cons!1618 (While!1575 (expr!1564 (init!1563 stat!216)) (Block!1560 (Cons!1618 (efl (body!1566 (init!1563 stat!216))) (Cons!1618 (efl (step!1565 (init!1563 stat!216))) Nil!1621)))) Nil!1621))) (init!1563 stat!216))))))) )) (ite (is-Block!1560 (init!1563 stat!216)) (not (forall ((?z I_efll)) (not (= (efll_arg_0_3 ?z) (body!1561 (init!1563 stat!216)))) )) (ite (is-IfThenElse!1567 (init!1563 stat!216)) (and (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (then!1569 (init!1563 stat!216)))) )) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (elze!1570 (init!1563 stat!216)))) ))) (ite (is-While!1575 (init!1563 stat!216)) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (body!1577 (init!1563 stat!216)))) )) (ite (is-For!1562 (init!1563 stat!216)) (and (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (init!1563 (init!1563 stat!216)))) )) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (body!1566 (init!1563 stat!216)))) )) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (step!1565 (init!1563 stat!216)))) ))) true)))))) (and (ifree (ite (is-Block!1560 (step!1565 stat!216)) (Block!1560 (efll (body!1561 (step!1565 stat!216)))) (ite (is-IfThenElse!1567 (step!1565 stat!216)) (IfThenElse!1567 (expr!1568 (step!1565 stat!216)) (efl (then!1569 (step!1565 stat!216))) (efl (elze!1570 (step!1565 stat!216)))) (ite (is-While!1575 (step!1565 stat!216)) (While!1575 (expr!1576 (step!1565 stat!216)) (efl (body!1577 (step!1565 stat!216)))) (ite (is-For!1562 (step!1565 stat!216)) (Block!1560 (Cons!1618 (efl (init!1563 (step!1565 stat!216))) (Cons!1618 (While!1575 (expr!1564 (step!1565 stat!216)) (Block!1560 (Cons!1618 (efl (body!1566 (step!1565 stat!216))) (Cons!1618 (efl (step!1565 (step!1565 stat!216))) Nil!1621)))) Nil!1621))) (step!1565 stat!216)))))) (not (forall ((?z I_ifree)) (not (= (ifree_arg_0_1 ?z) (ite (is-Block!1560 (step!1565 stat!216)) (Block!1560 (efll (body!1561 (step!1565 stat!216)))) (ite (is-IfThenElse!1567 (step!1565 stat!216)) (IfThenElse!1567 (expr!1568 (step!1565 stat!216)) (efl (then!1569 (step!1565 stat!216))) (efl (elze!1570 (step!1565 stat!216)))) (ite (is-While!1575 (step!1565 stat!216)) (While!1575 (expr!1576 (step!1565 stat!216)) (efl (body!1577 (step!1565 stat!216)))) (ite (is-For!1562 (step!1565 stat!216)) (Block!1560 (Cons!1618 (efl (init!1563 (step!1565 stat!216))) (Cons!1618 (While!1575 (expr!1564 (step!1565 stat!216)) (Block!1560 (Cons!1618 (efl (body!1566 (step!1565 stat!216))) (Cons!1618 (efl (step!1565 (step!1565 stat!216))) Nil!1621)))) Nil!1621))) (step!1565 stat!216))))))) )) (ite (is-Block!1560 (step!1565 stat!216)) (not (forall ((?z I_efll)) (not (= (efll_arg_0_3 ?z) (body!1561 (step!1565 stat!216)))) )) (ite (is-IfThenElse!1567 (step!1565 stat!216)) (and (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (then!1569 (step!1565 stat!216)))) )) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (elze!1570 (step!1565 stat!216)))) ))) (ite (is-While!1575 (step!1565 stat!216)) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (body!1577 (step!1565 stat!216)))) )) (ite (is-For!1562 (step!1565 stat!216)) (and (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (init!1563 (step!1565 stat!216)))) )) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (body!1566 (step!1565 stat!216)))) )) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (step!1565 (step!1565 stat!216)))) ))) true)))))) (and (ifree (ite (is-Block!1560 (body!1566 stat!216)) (Block!1560 (efll (body!1561 (body!1566 stat!216)))) (ite (is-IfThenElse!1567 (body!1566 stat!216)) (IfThenElse!1567 (expr!1568 (body!1566 stat!216)) (efl (then!1569 (body!1566 stat!216))) (efl (elze!1570 (body!1566 stat!216)))) (ite (is-While!1575 (body!1566 stat!216)) (While!1575 (expr!1576 (body!1566 stat!216)) (efl (body!1577 (body!1566 stat!216)))) (ite (is-For!1562 (body!1566 stat!216)) (Block!1560 (Cons!1618 (efl (init!1563 (body!1566 stat!216))) (Cons!1618 (While!1575 (expr!1564 (body!1566 stat!216)) (Block!1560 (Cons!1618 (efl (body!1566 (body!1566 stat!216))) (Cons!1618 (efl (step!1565 (body!1566 stat!216))) Nil!1621)))) Nil!1621))) (body!1566 stat!216)))))) (not (forall ((?z I_ifree)) (not (= (ifree_arg_0_1 ?z) (ite (is-Block!1560 (body!1566 stat!216)) (Block!1560 (efll (body!1561 (body!1566 stat!216)))) (ite (is-IfThenElse!1567 (body!1566 stat!216)) (IfThenElse!1567 (expr!1568 (body!1566 stat!216)) (efl (then!1569 (body!1566 stat!216))) (efl (elze!1570 (body!1566 stat!216)))) (ite (is-While!1575 (body!1566 stat!216)) (While!1575 (expr!1576 (body!1566 stat!216)) (efl (body!1577 (body!1566 stat!216)))) (ite (is-For!1562 (body!1566 stat!216)) (Block!1560 (Cons!1618 (efl (init!1563 (body!1566 stat!216))) (Cons!1618 (While!1575 (expr!1564 (body!1566 stat!216)) (Block!1560 (Cons!1618 (efl (body!1566 (body!1566 stat!216))) (Cons!1618 (efl (step!1565 (body!1566 stat!216))) Nil!1621)))) Nil!1621))) (body!1566 stat!216))))))) )) (ite (is-Block!1560 (body!1566 stat!216)) (not (forall ((?z I_efll)) (not (= (efll_arg_0_3 ?z) (body!1561 (body!1566 stat!216)))) )) (ite (is-IfThenElse!1567 (body!1566 stat!216)) (and (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (then!1569 (body!1566 stat!216)))) )) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (elze!1570 (body!1566 stat!216)))) ))) (ite (is-While!1575 (body!1566 stat!216)) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (body!1577 (body!1566 stat!216)))) )) (ite (is-For!1562 (body!1566 stat!216)) (and (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (init!1563 (body!1566 stat!216)))) )) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (body!1566 (body!1566 stat!216)))) )) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (step!1565 (body!1566 stat!216)))) ))) true)))))) (or (ifree (ite (is-Block!1560 stat!216) (Block!1560 (efll (body!1561 stat!216))) (ite (is-IfThenElse!1567 stat!216) (IfThenElse!1567 (expr!1568 stat!216) (efl (then!1569 stat!216)) (efl (elze!1570 stat!216))) (ite (is-While!1575 stat!216) (While!1575 (expr!1576 stat!216) (efl (body!1577 stat!216))) (ite (is-For!1562 stat!216) (Block!1560 (Cons!1618 (efl (init!1563 stat!216)) (Cons!1618 (While!1575 (expr!1564 stat!216) (Block!1560 (Cons!1618 (efl (body!1566 stat!216)) (Cons!1618 (efl (step!1565 stat!216)) Nil!1621)))) Nil!1621))) stat!216))))) (forall ((?z I_ifree)) (not (= (ifree_arg_0_1 ?z) (ite (is-Block!1560 stat!216) (Block!1560 (efll (body!1561 stat!216))) (ite (is-IfThenElse!1567 stat!216) (IfThenElse!1567 (expr!1568 stat!216) (efl (then!1569 stat!216)) (efl (elze!1570 stat!216))) (ite (is-While!1575 stat!216) (While!1575 (expr!1576 stat!216) (efl (body!1577 stat!216))) (ite (is-For!1562 stat!216) (Block!1560 (Cons!1618 (efl (init!1563 stat!216)) (Cons!1618 (While!1575 (expr!1564 stat!216) (Block!1560 (Cons!1618 (efl (body!1566 stat!216)) (Cons!1618 (efl (step!1565 stat!216)) Nil!1621)))) Nil!1621))) stat!216)))))) ) (not (ite (is-Block!1560 stat!216) (not (forall ((?z I_efll)) (not (= (efll_arg_0_3 ?z) (body!1561 stat!216))) )) (ite (is-IfThenElse!1567 stat!216) (and (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (then!1569 stat!216))) )) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (elze!1570 stat!216))) ))) (ite (is-While!1575 stat!216) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (body!1577 stat!216))) )) (ite (is-For!1562 stat!216) (and (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (init!1563 stat!216))) )) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (body!1566 stat!216))) )) (not (forall ((?z I_efl)) (not (= (efl_arg_0_4 ?z) (step!1565 stat!216))) ))) true)))))))) ))
+(check-sat)
diff --git a/test/regress/regress2/quantifiers/Makefile.am b/test/regress/regress2/quantifiers/Makefile.am
new file mode 100644
index 000000000..19d1efb26
--- /dev/null
+++ b/test/regress/regress2/quantifiers/Makefile.am
@@ -0,0 +1,38 @@
+# don't override a BINARY imported from a personal.mk
+@mk_if@eq ($(BINARY),)
+@mk_empty@BINARY = cvc4
+end@mk_if@
+
+LOG_COMPILER = @srcdir@/../../run_regression
+AM_LOG_FLAGS = $(RUN_REGRESSION_ARGS) @abs_top_builddir@/src/main/$(BINARY)$(EXEEXT)
+
+if AUTOMAKE_1_11
+# old-style (pre-automake 1.12) test harness
+TESTS_ENVIRONMENT = \
+ $(LOG_COMPILER) \
+ $(AM_LOG_FLAGS) $(LOG_FLAGS)
+endif
+
+# These are run for all build profiles.
+# If a test shouldn't be run in e.g. competition mode,
+# put it below in "TESTS +="
+TESTS = \
+ ForElimination-scala-9.smt2 \
+ javafe.ast.ArrayInit.35.smt2 \
+ javafe.ast.StandardPrettyPrint.319.smt2 \
+ javafe.ast.WhileStmt.447.smt2 \
+ javafe.tc.CheckCompilationUnit.001.smt2 \
+ javafe.tc.FlowInsensitiveChecks.682.smt2 \
+ nunchaku2309663.nun.min.smt2 \
+ AdditiveMethods_AdditiveMethods..ctor.smt2
+
+EXTRA_DIST = $(TESTS) \
+ small-bug1-fixpoint-3.smt2
+
+# synonyms for "check" in this directory
+.PHONY: regress regress2 test
+regress regress2 test: check
+
+# do nothing in this subdir
+.PHONY: regress0 regress1 regress3 regress4
+regress0 regress1 regress3 regress4:
diff --git a/test/regress/regress2/quantifiers/javafe.ast.ArrayInit.35.smt2 b/test/regress/regress2/quantifiers/javafe.ast.ArrayInit.35.smt2
new file mode 100644
index 000000000..811796bbf
--- /dev/null
+++ b/test/regress/regress2/quantifiers/javafe.ast.ArrayInit.35.smt2
@@ -0,0 +1,746 @@
+(set-logic AUFLIA)
+(set-info :source | Simplify Theorem Prover Benchmark Suite |)
+(set-info :smt-lib-version 2.0)
+(set-info :category "industrial")
+(set-info :status unsat)
+(declare-fun true_term () Int)
+(declare-fun false_term () Int)
+(assert (= true_term 1))
+(assert (= false_term 0))
+(declare-fun S_select (Int Int) Int)
+(declare-fun S_store (Int Int Int) Int)
+(assert (forall ((?m Int) (?i Int) (?x Int)) (= (S_select (S_store ?m ?i ?x) ?i) ?x)))
+(assert (forall ((?m Int) (?i Int) (?j Int) (?x Int)) (=> (not (= ?i ?j)) (= (S_select (S_store ?m ?i ?x) ?j) (S_select ?m ?j)))))
+(declare-fun PO_LT (Int Int) Int)
+(assert (forall ((?t Int)) (= (PO_LT ?t ?t) true_term)))
+(declare-fun T_java_lang_Object () Int)
+(assert (= (PO_LT T_java_lang_Object T_java_lang_Object) true_term))
+(assert (forall ((?t0 Int) (?t1 Int) (?t2 Int)) (=> (and (= (PO_LT ?t0 ?t1) true_term) (= (PO_LT ?t1 ?t2) true_term)) (= (PO_LT ?t0 ?t2) true_term))))
+(assert (forall ((?t0 Int) (?t1 Int)) (=> (and (= (PO_LT ?t0 ?t1) true_term) (= (PO_LT ?t1 ?t0) true_term)) (= ?t0 ?t1))))
+(declare-fun T_boolean () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_boolean) true_term) (= ?t T_boolean))))
+(declare-fun T_char () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_char) true_term) (= ?t T_char))))
+(declare-fun T_byte () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_byte) true_term) (= ?t T_byte))))
+(declare-fun T_short () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_short) true_term) (= ?t T_short))))
+(declare-fun T_int () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_int) true_term) (= ?t T_int))))
+(declare-fun T_long () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_long) true_term) (= ?t T_long))))
+(declare-fun T_float () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_float) true_term) (= ?t T_float))))
+(declare-fun T_double () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_double) true_term) (= ?t T_double))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_boolean ?t) true_term) (= ?t T_boolean))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_char ?t) true_term) (= ?t T_char))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_byte ?t) true_term) (= ?t T_byte))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_short ?t) true_term) (= ?t T_short))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_int ?t) true_term) (= ?t T_int))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_long ?t) true_term) (= ?t T_long))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_float ?t) true_term) (= ?t T_float))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_double ?t) true_term) (= ?t T_double))))
+(declare-fun asChild (Int Int) Int)
+(declare-fun classDown (Int Int) Int)
+(assert (forall ((?t0 Int) (?t1 Int) (?t2 Int)) (let ((?v_0 (asChild ?t1 ?t2))) (=> (= (PO_LT ?t0 ?v_0) true_term) (= (classDown ?t2 ?t0) ?v_0)))))
+(declare-fun T_java_lang_Cloneable () Int)
+(assert (= (PO_LT T_java_lang_Cloneable T_java_lang_Object) true_term))
+(declare-fun array (Int) Int)
+(assert (forall ((?t Int)) (= (PO_LT (array ?t) T_java_lang_Cloneable) true_term)))
+(declare-fun elemtype (Int) Int)
+(assert (forall ((?t Int)) (= (elemtype (array ?t)) ?t)))
+(assert (forall ((?t0 Int) (?t1 Int)) (let ((?v_0 (elemtype ?t0))) (= (= (PO_LT ?t0 (array ?t1)) true_term) (and (= ?t0 (array ?v_0)) (= (PO_LT ?v_0 ?t1) true_term))))))
+(declare-fun is (Int Int) Int)
+(declare-fun cast (Int Int) Int)
+(assert (forall ((?x Int) (?t Int)) (= (is (cast ?x ?t) ?t) true_term)))
+(assert (forall ((?x Int) (?t Int)) (=> (= (is ?x ?t) true_term) (= (cast ?x ?t) ?x))))
+(assert true)
+(assert (forall ((?x Int)) (= (= (is ?x T_char) true_term) (and (<= 0 ?x) (<= ?x 65535)))))
+(assert (forall ((?x Int)) (= (= (is ?x T_byte) true_term) (and (<= (- 128) ?x) (<= ?x 127)))))
+(assert (forall ((?x Int)) (= (= (is ?x T_short) true_term) (and (<= (- 32768) ?x) (<= ?x 32767)))))
+(declare-fun intFirst () Int)
+(declare-fun intLast () Int)
+(assert (forall ((?x Int)) (= (= (is ?x T_int) true_term) (and (<= intFirst ?x) (<= ?x intLast)))))
+(declare-fun longFirst () Int)
+(declare-fun longLast () Int)
+(assert (forall ((?x Int)) (= (= (is ?x T_long) true_term) (and (<= longFirst ?x) (<= ?x longLast)))))
+(assert (< longFirst intFirst))
+(assert (< intFirst (- 1000000)))
+(assert (< 1000000 intLast))
+(assert (< intLast longLast))
+(declare-fun null () Int)
+(declare-fun typeof (Int) Int)
+(assert (forall ((?x Int) (?t Int)) (=> (= (PO_LT ?t T_java_lang_Object) true_term) (= (= (is ?x ?t) true_term) (or (= ?x null) (= (PO_LT (typeof ?x) ?t) true_term))))))
+(declare-fun asField (Int Int) Int)
+(assert (forall ((?f Int) (?t Int) (?x Int)) (= (is (S_select (asField ?f ?t) ?x) ?t) true_term)))
+(declare-fun asElems (Int) Int)
+(assert (forall ((?e Int) (?a Int) (?i Int)) (= (is (S_select (S_select (asElems ?e) ?a) ?i) (elemtype (typeof ?a))) true_term)))
+(declare-fun vAllocTime (Int) Int)
+(declare-fun isAllocated (Int Int) Int)
+(assert (forall ((?x Int) (?a0 Int)) (= (= (isAllocated ?x ?a0) true_term) (< (vAllocTime ?x) ?a0))))
+(declare-fun fClosedTime (Int) Int)
+(assert (forall ((?x Int) (?f Int) (?a0 Int)) (=> (and (< (fClosedTime ?f) ?a0) (= (isAllocated ?x ?a0) true_term)) (= (isAllocated (S_select ?f ?x) ?a0) true_term))))
+(declare-fun eClosedTime (Int) Int)
+(assert (forall ((?a Int) (?e Int) (?i Int) (?a0 Int)) (=> (and (< (eClosedTime ?e) ?a0) (= (isAllocated ?a ?a0) true_term)) (= (isAllocated (S_select (S_select ?e ?a) ?i) ?a0) true_term))))
+(declare-fun asLockSet (Int) Int)
+(declare-fun max (Int) Int)
+(assert (forall ((?S Int)) (let ((?v_0 (asLockSet ?S))) (= (S_select ?v_0 (max ?v_0)) true_term))))
+(assert (forall ((?S Int)) (= (S_select (asLockSet ?S) null) true_term)))
+(declare-fun lockLE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (lockLE ?x ?y) true_term) (<= ?x ?y))))
+(declare-fun lockLT (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (lockLT ?x ?y) true_term) (< ?x ?y))))
+(assert (forall ((?S Int) (?mu Int)) (let ((?v_0 (asLockSet ?S))) (=> (= (S_select ?v_0 ?mu) true_term) (= (lockLE ?mu (max ?v_0)) true_term)))))
+(assert (forall ((?x Int)) (=> (= (PO_LT (typeof ?x) T_java_lang_Object) true_term) (= (lockLE null ?x) true_term))))
+(declare-fun arrayLength (Int) Int)
+(assert (forall ((?a Int)) (let ((?v_0 (arrayLength ?a))) (and (<= 0 ?v_0) (= (is ?v_0 T_int) true_term)))))
+(declare-fun arrayFresh (Int Int Int Int Int Int Int) Int)
+(declare-fun arrayShapeMore (Int Int) Int)
+(declare-fun arrayParent (Int) Int)
+(declare-fun arrayPosition (Int) Int)
+(assert (forall ((?a Int) (?a0 Int) (?b0 Int) (?e Int) (?n Int) (?s Int) (?T Int) (?v Int)) (= (= (arrayFresh ?a ?a0 ?b0 ?e (arrayShapeMore ?n ?s) ?T ?v) true_term) (and (<= ?a0 (vAllocTime ?a)) (= (isAllocated ?a ?b0) true_term) (not (= ?a null)) (= (typeof ?a) ?T) (= (arrayLength ?a) ?n) (forall ((?i Int)) (let ((?v_0 (S_select (S_select ?e ?a) ?i))) (and (= (arrayFresh ?v_0 ?a0 ?b0 ?e ?s (elemtype ?T) ?v) true_term) (= (arrayParent ?v_0) ?a) (= (arrayPosition ?v_0) ?i))))))))
+(declare-fun arrayShapeOne (Int) Int)
+(assert (forall ((?a Int) (?a0 Int) (?b0 Int) (?e Int) (?n Int) (?T Int) (?v Int)) (= (= (arrayFresh ?a ?a0 ?b0 ?e (arrayShapeOne ?n) ?T ?v) true_term) (and (<= ?a0 (vAllocTime ?a)) (= (isAllocated ?a ?b0) true_term) (not (= ?a null)) (= (typeof ?a) ?T) (= (arrayLength ?a) ?n) (forall ((?i Int)) (= (S_select (S_select ?e ?a) ?i) ?v))))))
+(declare-fun arrayType () Int)
+(assert (= arrayType (asChild arrayType T_java_lang_Object)))
+(assert (forall ((?t Int)) (= (PO_LT (array ?t) arrayType) true_term)))
+(declare-fun isNewArray (Int) Int)
+(assert (forall ((?s Int)) (=> (= true_term (isNewArray ?s)) (= (PO_LT (typeof ?s) arrayType) true_term))))
+(declare-fun boolAnd (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolAnd ?a ?b) true_term) (and (= ?a true_term) (= ?b true_term)))))
+(declare-fun boolEq (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolEq ?a ?b) true_term) (= (= ?a true_term) (= ?b true_term)))))
+(declare-fun boolImplies (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolImplies ?a ?b) true_term) (=> (= ?a true_term) (= ?b true_term)))))
+(declare-fun boolNE (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolNE ?a ?b) true_term) (not (= (= ?a true_term) (= ?b true_term))))))
+(declare-fun boolNot (Int) Int)
+(assert (forall ((?a Int)) (= (= (boolNot ?a) true_term) (not (= ?a true_term)))))
+(declare-fun boolOr (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolOr ?a ?b) true_term) (or (= ?a true_term) (= ?b true_term)))))
+(declare-fun integralEQ (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralEQ ?x ?y) true_term) (= ?x ?y))))
+(declare-fun stringCat (Int Int) Int)
+(declare-fun T_java_lang_String () Int)
+(assert (forall ((?x Int) (?y Int)) (let ((?v_0 (stringCat ?x ?y))) (and (not (= ?v_0 null)) (= (PO_LT (typeof ?v_0) T_java_lang_String) true_term)))))
+(declare-fun integralGE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralGE ?x ?y) true_term) (>= ?x ?y))))
+(declare-fun integralGT (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralGT ?x ?y) true_term) (> ?x ?y))))
+(declare-fun integralLE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralLE ?x ?y) true_term) (<= ?x ?y))))
+(declare-fun integralLT (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralLT ?x ?y) true_term) (< ?x ?y))))
+(declare-fun integralNE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralNE ?x ?y) true_term) (not (= ?x ?y)))))
+(declare-fun refEQ (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (refEQ ?x ?y) true_term) (= ?x ?y))))
+(declare-fun refNE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (refNE ?x ?y) true_term) (not (= ?x ?y)))))
+(declare-fun nonnullelements (Int Int) Int)
+(assert (forall ((?x Int) (?e Int)) (= (= (nonnullelements ?x ?e) true_term) (and (not (= ?x null)) (forall ((?i Int)) (=> (and (<= 0 ?i) (< ?i (arrayLength ?x))) (not (= (S_select (S_select ?e ?x) ?i) null))))))))
+(declare-fun classLiteral (Int) Int)
+(declare-fun T_java_lang_Class () Int)
+(declare-fun alloc () Int)
+(assert (forall ((?t Int)) (let ((?v_0 (classLiteral ?t))) (and (not (= ?v_0 null)) (= (is ?v_0 T_java_lang_Class) true_term) (= (isAllocated ?v_0 alloc) true_term)))))
+(declare-fun integralAnd (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (=> (or (<= 0 ?x) (<= 0 ?y)) (<= 0 (integralAnd ?x ?y)))))
+(assert (forall ((?x Int) (?y Int)) (=> (<= 0 ?x) (<= (integralAnd ?x ?y) ?x))))
+(assert (forall ((?x Int) (?y Int)) (=> (<= 0 ?y) (<= (integralAnd ?x ?y) ?y))))
+(declare-fun integralOr (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (let ((?v_0 (integralOr ?x ?y))) (=> (and (<= 0 ?x) (<= 0 ?y)) (and (<= ?x ?v_0) (<= ?y ?v_0))))))
+(declare-fun integralXor (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (=> (and (<= 0 ?x) (<= 0 ?y)) (<= 0 (integralXor ?x ?y)))))
+(declare-fun intShiftL (Int Int) Int)
+(assert (forall ((?n Int)) (=> (and (<= 0 ?n) (< ?n 31)) (<= 1 (intShiftL 1 ?n)))))
+(declare-fun longShiftL (Int Int) Int)
+(assert (forall ((?n Int)) (=> (and (<= 0 ?n) (< ?n 63)) (<= 1 (longShiftL 1 ?n)))))
+(assert true)
+(declare-fun T_javafe_ast_ConstructorInvocation () Int)
+(declare-fun T_javafe_ast_Stmt () Int)
+(declare-fun T_javafe_ast_VariableAccess () Int)
+(declare-fun T_javafe_ast_Expr () Int)
+(declare-fun T_javafe_ast_ImportDecl () Int)
+(declare-fun T_javafe_ast_ASTNode () Int)
+(declare-fun T_javafe_ast_TryCatchStmt () Int)
+(declare-fun T_javafe_ast_OperatorTags () Int)
+(declare-fun T_javafe_ast_GeneratedTags () Int)
+(declare-fun T_javafe_ast_TagConstants () Int)
+(declare-fun T_javafe_tc_FieldDeclVec () Int)
+(declare-fun T_javafe_ast_BlockStmt () Int)
+(declare-fun T_javafe_ast_GenericBlockStmt () Int)
+(declare-fun T_javafe_ast_RoutineDecl () Int)
+(declare-fun T_javafe_ast_TypeDeclElem () Int)
+(declare-fun T_javafe_ast_VarInit () Int)
+(declare-fun T_javafe_ast_ParenExpr () Int)
+(declare-fun T_javafe_ast_Type () Int)
+(declare-fun T_javafe_ast_EvalStmt () Int)
+(declare-fun T_javafe_ast_TypeObjectDesignator () Int)
+(declare-fun T_javafe_ast_ObjectDesignator () Int)
+(declare-fun T_java_lang_RuntimeException () Int)
+(declare-fun T_java_lang_Exception () Int)
+(declare-fun T_javafe_ast_IfStmt () Int)
+(declare-fun T_javafe_ast_ArrayInit () Int)
+(declare-fun T_java_lang_Throwable () Int)
+(declare-fun T_java_util_Map () Int)
+(declare-fun T_java_util_EscjavaKeyValue () Int)
+(declare-fun T_java_lang_Long () Int)
+(declare-fun T_java_lang_Number () Int)
+(declare-fun T_java_lang_Comparable () Int)
+(declare-fun T_javafe_ast_CondExpr () Int)
+(declare-fun T_javafe_util_Location () Int)
+(declare-fun T_javafe_tc_MethodDeclVec () Int)
+(declare-fun T_javafe_ast_VarDeclStmt () Int)
+(declare-fun T_javafe_ast_MethodDecl () Int)
+(declare-fun T_javafe_ast_TypeName () Int)
+(declare-fun T_javafe_ast_LiteralExpr () Int)
+(declare-fun T_java_io_Serializable () Int)
+(declare-fun T_javafe_ast_ThrowStmt () Int)
+(declare-fun T_java_lang_Boolean () Int)
+(declare-fun T_javafe_ast_SkipStmt () Int)
+(declare-fun T_javafe_ast_LocalVarDecl () Int)
+(declare-fun T_javafe_ast_GenericVarDecl () Int)
+(declare-fun T_javafe_ast_CastExpr () Int)
+(declare-fun T_javafe_ast_WhileStmt () Int)
+(declare-fun T_javafe_ast_TypeDecl () Int)
+(declare-fun T_javafe_ast_MethodInvocation () Int)
+(declare-fun T_javafe_ast_Identifier () Int)
+(declare-fun T_javafe_ast_NewInstanceExpr () Int)
+(declare-fun T_java_util_Hashtable () Int)
+(declare-fun T_java_util_Dictionary () Int)
+(declare-fun T_javafe_tc_Env () Int)
+(declare-fun T_javafe_ast_SingleTypeImportDecl () Int)
+(declare-fun T_javafe_ast_Name () Int)
+(declare-fun T_javafe_ast_CompilationUnit () Int)
+(declare-fun T_javafe_ast_BreakStmt () Int)
+(declare-fun T_javafe_ast_BranchStmt () Int)
+(declare-fun T_javafe_ast_VisitorArgResult () Int)
+(declare-fun T_javafe_ast_AmbiguousVariableAccess () Int)
+(declare-fun T_javafe_ast_FormalParaDecl () Int)
+(declare-fun T_javafe_ast_TryFinallyStmt () Int)
+(declare-fun T_javafe_ast_SuperObjectDesignator () Int)
+(declare-fun T_javafe_ast_UnaryExpr () Int)
+(declare-fun T_javafe_ast_InterfaceDecl () Int)
+(declare-fun T_javafe_ast_SynchronizeStmt () Int)
+(declare-fun T_java_lang_IndexOutOfBoundsException () Int)
+(declare-fun T_javafe_ast_LabelStmt () Int)
+(declare-fun T_javafe_ast_CompoundName () Int)
+(declare-fun T_javafe_ast_CatchClause () Int)
+(declare-fun T_javafe_ast_FieldAccess () Int)
+(declare-fun T_javafe_ast_IdentifierVec () Int)
+(declare-fun T_java_lang_Integer () Int)
+(declare-fun T_javafe_ast_ExprVec () Int)
+(declare-fun T_javafe_tc_TagConstants () Int)
+(declare-fun T_javafe_parser_TagConstants () Int)
+(declare-fun T_javafe_ast_ConstructorDecl () Int)
+(declare-fun T_javafe_ast_SwitchStmt () Int)
+(declare-fun T_java_lang_Double () Int)
+(declare-fun T_javafe_ast_PrimitiveType () Int)
+(declare-fun T_javafe_ast_ReturnStmt () Int)
+(declare-fun T_java_lang_Float () Int)
+(declare-fun T_javafe_ast_ThisExpr () Int)
+(declare-fun T_javafe_ast_ExprObjectDesignator () Int)
+(declare-fun T_javafe_ast_ForStmt () Int)
+(declare-fun T_javafe_ast_InstanceOfExpr () Int)
+(declare-fun T_javafe_ast_OnDemandImportDecl () Int)
+(declare-fun T_javafe_ast_ClassDeclStmt () Int)
+(declare-fun T_javafe_tc_TypeSig () Int)
+(declare-fun T_javafe_ast_InitBlock () Int)
+(declare-fun T_javafe_ast_ArrayRefExpr () Int)
+(declare-fun T_javafe_ast_ArrayType () Int)
+(declare-fun T_javafe_ast_ASTDecoration () Int)
+(declare-fun T_javafe_ast_VarInitVec () Int)
+(declare-fun T_javafe_ast_Visitor () Int)
+(declare-fun T_javafe_ast_SwitchLabel () Int)
+(declare-fun T_javafe_ast_FieldDecl () Int)
+(declare-fun T_javafe_ast_BinaryExpr () Int)
+(declare-fun T_javafe_ast_ClassDecl () Int)
+(declare-fun T_javafe_ast_ClassLiteral () Int)
+(declare-fun T_javafe_ast_DoStmt () Int)
+(declare-fun T_javafe_ast_NewArrayExpr () Int)
+(declare-fun T_javafe_ast_AmbiguousMethodInvocation () Int)
+(declare-fun T_javafe_ast_ContinueStmt () Int)
+(declare-fun T_javafe_ast_SimpleName () Int)
+(declare-fun DIST_ZERO_1 () Int)
+(declare-fun T__TYPE () Int)
+(declare-fun TRYCATCHSTMT_19_43_7 () Int)
+(declare-fun UNARYSUB_18_55_26 () Int)
+(declare-fun TYPEDECLELEMPRAGMA_29_27_26 () Int)
+(declare-fun SHORTTYPE_17_36_26 () Int)
+(declare-fun WHILESTMT_19_29_7 () Int)
+(declare-fun STAR_18_37_26 () Int)
+(declare-fun VARIABLEACCESS_19_56_7 () Int)
+(declare-fun SINGLETYPEIMPORTDECL_19_15_7 () Int)
+(declare-fun BITAND_18_23_26 () Int)
+(declare-fun TRYFINALLYSTMT_19_42_7 () Int)
+(declare-fun UNARYADD_18_54_26 () Int)
+(declare-fun BYTETYPE_17_35_26 () Int)
+(declare-fun CLASSDECLSTMT_19_28_7 () Int)
+(declare-fun MOD_18_36_26 () Int)
+(declare-fun STMTPRAGMA_29_26_26 () Int)
+(declare-fun AMBIGUOUSVARIABLEACCESS_19_55_7 () Int)
+(declare-fun COMPILATIONUNIT_19_14_7 () Int)
+(declare-fun BITXOR_18_22_26 () Int)
+(declare-fun SWITCHLABEL_19_41_7 () Int)
+(declare-fun ASGBITXOR_18_51_26 () Int)
+(declare-fun punctuationStrings_29_134_22 () Int)
+(declare-fun NULLTYPE_17_34_26 () Int)
+(declare-fun VARDECLSTMT_19_27_7 () Int)
+(declare-fun DIV_18_35_26 () Int)
+(declare-fun otherStrings_29_193_30 () Int)
+(declare-fun PARENEXPR_19_54_7 () Int)
+(declare-fun BITOR_18_21_26 () Int)
+(declare-fun MODIFIERPRAGMA_29_25_26 () Int)
+(declare-fun noTokens_29_212_27 () Int)
+(declare-fun SKIPSTMT_19_40_7 () Int)
+(declare-fun ASGBITOR_18_50_26 () Int)
+(declare-fun COMPOUNDNAME_19_67_7 () Int)
+(declare-fun VOIDTYPE_17_33_26 () Int)
+(declare-fun SWITCHSTMT_19_26_7 () Int)
+(declare-fun SUB_18_34_26 () Int)
+(declare-fun CASTEXPR_19_53_7 () Int)
+(declare-fun TYPESIG_28_6_28 () Int)
+(declare-fun FORSTMT_19_39_7 () Int)
+(declare-fun LEXICALPRAGMA_29_24_26 () Int)
+(declare-fun ASGBITAND_18_49_26 () Int)
+(declare-fun SIMPLENAME_19_66_7 () Int)
+(declare-fun DOUBLETYPE_17_32_26 () Int)
+(declare-fun BLOCKSTMT_19_25_7 () Int)
+(declare-fun ADD_18_33_26 () Int)
+(declare-fun FIRST_KEYWORD_29_51_26 () Int)
+(declare-fun INSTANCEOFEXPR_19_52_7 () Int)
+(declare-fun AND_18_20_26 () Int)
+(declare-fun IFSTMT_19_38_7 () Int)
+(declare-fun LAST_KEYWORD_29_103_26 () Int)
+(declare-fun ASGURSHIFT_18_48_26 () Int)
+(declare-fun ARRAYTYPE_19_65_7 () Int)
+(declare-fun FORMALPARADECL_19_24_7 () Int)
+(declare-fun FLOATTYPE_17_31_26 () Int)
+(declare-fun URSHIFT_18_32_26 () Int)
+(declare-fun CONDEXPR_19_51_7 () Int)
+(declare-fun OR_18_19_26 () Int)
+(declare-fun LABELSTMT_19_37_7 () Int)
+(declare-fun NULLLIT_17_45_26 () Int)
+(declare-fun ASGRSHIFT_18_47_26 () Int)
+(declare-fun NULL_29_82_26 () Int)
+(declare-fun TYPENAME_19_64_7 () Int)
+(declare-fun CHARTYPE_17_30_26 () Int)
+(declare-fun FIELDDECL_19_23_7 () Int)
+(declare-fun RSHIFT_18_31_26 () Int)
+(declare-fun NEWARRAYEXPR_19_50_7 () Int)
+(declare-fun CONTINUESTMT_19_36_7 () Int)
+(declare-fun STRINGLIT_17_44_26 () Int)
+(declare-fun ASGLSHIFT_18_46_26 () Int)
+(declare-fun SUPEROBJECTDESIGNATOR_19_63_7 () Int)
+(declare-fun LONGTYPE_17_29_26 () Int)
+(declare-fun LOCALVARDECL_19_22_7 () Int)
+(declare-fun LSHIFT_18_30_26 () Int)
+(declare-fun NEWINSTANCEEXPR_19_49_7 () Int)
+(declare-fun POSTFIXDEC_18_63_26 () Int)
+(declare-fun BREAKSTMT_19_35_7 () Int)
+(declare-fun DOUBLELIT_17_43_26 () Int)
+(declare-fun ASGSUB_18_45_26 () Int)
+(declare-fun TYPEOBJECTDESIGNATOR_19_62_7 () Int)
+(declare-fun INTTYPE_17_28_26 () Int)
+(declare-fun INITBLOCK_19_21_7 () Int)
+(declare-fun LT_18_29_26 () Int)
+(declare-fun ARRAYREFEXPR_19_48_7 () Int)
+(declare-fun POSTFIXINC_18_62_26 () Int)
+(declare-fun THROWSTMT_19_34_7 () Int)
+(declare-fun FLOATLIT_17_42_26 () Int)
+(declare-fun ASGADD_18_44_26 () Int)
+(declare-fun EXPROBJECTDESIGNATOR_19_61_7 () Int)
+(declare-fun otherCodes_29_202_27 () Int)
+(declare-fun BOOLEANTYPE_17_27_26 () Int)
+(declare-fun METHODDECL_19_20_7 () Int)
+(declare-fun LE_18_28_26 () Int)
+(declare-fun THISEXPR_19_47_7 () Int)
+(declare-fun DEC_18_59_26 () Int)
+(declare-fun CHARLIT_17_41_26 () Int)
+(declare-fun RETURNSTMT_19_33_7 () Int)
+(declare-fun punctuationCodes_29_164_19 () Int)
+(declare-fun ASGREM_18_43_26 () Int)
+(declare-fun CLASSLITERAL_19_60_7 () Int)
+(declare-fun IDENT_17_25_26 () Int)
+(declare-fun CONSTRUCTORDECL_19_19_7 () Int)
+(declare-fun GT_18_27_26 () Int)
+(declare-fun ARRAYINIT_19_46_7 () Int)
+(declare-fun INC_18_58_26 () Int)
+(declare-fun LONGLIT_17_40_26 () Int)
+(declare-fun EVALSTMT_19_32_7 () Int)
+(declare-fun ASGDIV_18_42_26 () Int)
+(declare-fun METHODINVOCATION_19_59_7 () Int)
+(declare-fun INTERFACEDECL_19_18_7 () Int)
+(declare-fun GE_18_26_26 () Int)
+(declare-fun CATCHCLAUSE_19_45_7 () Int)
+(declare-fun BITNOT_18_57_26 () Int)
+(declare-fun keywordStrings_29_181_30 () Int)
+(declare-fun NULL_13_60_26 () Int)
+(declare-fun SYNCHRONIZESTMT_19_31_7 () Int)
+(declare-fun INTLIT_17_39_26 () Int)
+(declare-fun ASGMUL_18_41_26 () Int)
+(declare-fun AMBIGUOUSMETHODINVOCATION_19_58_7 () Int)
+(declare-fun CLASSDECL_19_17_7 () Int)
+(declare-fun EQ_18_25_26 () Int)
+(declare-fun TYPEMODIFIERPRAGMA_29_28_26 () Int)
+(declare-fun CONSTRUCTORINVOCATION_19_44_7 () Int)
+(declare-fun NOT_18_56_26 () Int)
+(declare-fun BOOLEANLIT_17_38_26 () Int)
+(declare-fun DOSTMT_19_30_7 () Int)
+(declare-fun ASSIGN_18_40_26 () Int)
+(declare-fun FIELDACCESS_19_57_7 () Int)
+(declare-fun ONDEMANDIMPORTDECL_19_16_7 () Int)
+(declare-fun NE_18_24_26 () Int)
+(assert (let ((?v_0 (array T_java_lang_String)) (?v_1 (array T_int))) (and (= (PO_LT T_javafe_ast_ConstructorInvocation T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ConstructorInvocation (asChild T_javafe_ast_ConstructorInvocation T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_VariableAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_VariableAccess (asChild T_javafe_ast_VariableAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ImportDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_ImportDecl (asChild T_javafe_ast_ImportDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_TryCatchStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_TryCatchStmt (asChild T_javafe_ast_TryCatchStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_OperatorTags T_java_lang_Object) true_term) (= T_javafe_ast_OperatorTags (asChild T_javafe_ast_OperatorTags T_java_lang_Object)) (= (PO_LT T_javafe_ast_OperatorTags T_javafe_ast_GeneratedTags) true_term) (= (PO_LT T_javafe_ast_TagConstants T_javafe_ast_OperatorTags) true_term) (= T_javafe_ast_TagConstants (asChild T_javafe_ast_TagConstants T_javafe_ast_OperatorTags)) (= (PO_LT T_javafe_tc_FieldDeclVec T_java_lang_Object) true_term) (= T_javafe_tc_FieldDeclVec (asChild T_javafe_tc_FieldDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_BlockStmt T_javafe_ast_GenericBlockStmt) true_term) (= T_javafe_ast_BlockStmt (asChild T_javafe_ast_BlockStmt T_javafe_ast_GenericBlockStmt)) (= (PO_LT T_javafe_ast_RoutineDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_RoutineDecl (asChild T_javafe_ast_RoutineDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_RoutineDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_Stmt T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Stmt (asChild T_javafe_ast_Stmt T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_Expr T_javafe_ast_VarInit) true_term) (= T_javafe_ast_Expr (asChild T_javafe_ast_Expr T_javafe_ast_VarInit)) (= (PO_LT T_javafe_ast_TypeDeclElem T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_ParenExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ParenExpr (asChild T_javafe_ast_ParenExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_Type T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Type (asChild T_javafe_ast_Type T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_EvalStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_EvalStmt (asChild T_javafe_ast_EvalStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_TypeObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_TypeObjectDesignator (asChild T_javafe_ast_TypeObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_java_lang_RuntimeException T_java_lang_Exception) true_term) (= T_java_lang_RuntimeException (asChild T_java_lang_RuntimeException T_java_lang_Exception)) (= (PO_LT T_javafe_ast_GeneratedTags T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_IfStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_IfStmt (asChild T_javafe_ast_IfStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ArrayInit T_javafe_ast_VarInit) true_term) (= T_javafe_ast_ArrayInit (asChild T_javafe_ast_ArrayInit T_javafe_ast_VarInit)) (= (PO_LT T_java_lang_Exception T_java_lang_Throwable) true_term) (= T_java_lang_Exception (asChild T_java_lang_Exception T_java_lang_Throwable)) (= (PO_LT T_java_util_Map T_java_lang_Object) true_term) (= (PO_LT T_java_util_Map T_java_util_EscjavaKeyValue) true_term) (= (PO_LT T_java_lang_Long T_java_lang_Number) true_term) (= T_java_lang_Long (asChild T_java_lang_Long T_java_lang_Number)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Long) true_term) (= ?t T_java_lang_Long))) (= (PO_LT T_java_lang_Long T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_CondExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_CondExpr (asChild T_javafe_ast_CondExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_util_Location T_java_lang_Object) true_term) (= T_javafe_util_Location (asChild T_javafe_util_Location T_java_lang_Object)) (= (PO_LT T_javafe_tc_MethodDeclVec T_java_lang_Object) true_term) (= T_javafe_tc_MethodDeclVec (asChild T_javafe_tc_MethodDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_VarDeclStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_VarDeclStmt (asChild T_javafe_ast_VarDeclStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_MethodDecl T_javafe_ast_RoutineDecl) true_term) (= T_javafe_ast_MethodDecl (asChild T_javafe_ast_MethodDecl T_javafe_ast_RoutineDecl)) (= (PO_LT T_javafe_ast_TypeName T_javafe_ast_Type) true_term) (= T_javafe_ast_TypeName (asChild T_javafe_ast_TypeName T_javafe_ast_Type)) (= (PO_LT T_javafe_ast_LiteralExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_LiteralExpr (asChild T_javafe_ast_LiteralExpr T_javafe_ast_Expr)) (= (PO_LT T_java_lang_Throwable T_java_lang_Object) true_term) (= T_java_lang_Throwable (asChild T_java_lang_Throwable T_java_lang_Object)) (= (PO_LT T_java_lang_Throwable T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_ThrowStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ThrowStmt (asChild T_javafe_ast_ThrowStmt T_javafe_ast_Stmt)) (= (PO_LT T_java_lang_Boolean T_java_lang_Object) true_term) (= T_java_lang_Boolean (asChild T_java_lang_Boolean T_java_lang_Object)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Boolean) true_term) (= ?t T_java_lang_Boolean))) (= (PO_LT T_java_lang_Boolean T_java_io_Serializable) true_term) (= (PO_LT T_java_io_Serializable T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_SkipStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SkipStmt (asChild T_javafe_ast_SkipStmt T_javafe_ast_Stmt)) (= (PO_LT T_java_lang_Comparable T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_LocalVarDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_LocalVarDecl (asChild T_javafe_ast_LocalVarDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_ast_ObjectDesignator T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_ObjectDesignator (asChild T_javafe_ast_ObjectDesignator T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_CastExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_CastExpr (asChild T_javafe_ast_CastExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_WhileStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_WhileStmt (asChild T_javafe_ast_WhileStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_TypeDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_TypeDecl (asChild T_javafe_ast_TypeDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_TypeDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_MethodInvocation T_javafe_ast_Expr) true_term) (= T_javafe_ast_MethodInvocation (asChild T_javafe_ast_MethodInvocation T_javafe_ast_Expr)) (= (PO_LT T_java_lang_Cloneable T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_Identifier T_java_lang_Object) true_term) (= T_javafe_ast_Identifier (asChild T_javafe_ast_Identifier T_java_lang_Object)) (forall ((?t Int)) (= (= (PO_LT ?t T_javafe_ast_Identifier) true_term) (= ?t T_javafe_ast_Identifier))) (= (PO_LT T_javafe_ast_NewInstanceExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_NewInstanceExpr (asChild T_javafe_ast_NewInstanceExpr T_javafe_ast_Expr)) (= (PO_LT T_java_util_Hashtable T_java_util_Dictionary) true_term) (= T_java_util_Hashtable (asChild T_java_util_Hashtable T_java_util_Dictionary)) (= (PO_LT T_java_util_Hashtable T_java_util_Map) true_term) (= (PO_LT T_java_util_Hashtable T_java_lang_Cloneable) true_term) (= (PO_LT T_java_util_Hashtable T_java_io_Serializable) true_term) (= (PO_LT T_javafe_tc_Env T_java_lang_Object) true_term) (= T_javafe_tc_Env (asChild T_javafe_tc_Env T_java_lang_Object)) (= (PO_LT T_javafe_ast_SingleTypeImportDecl T_javafe_ast_ImportDecl) true_term) (= T_javafe_ast_SingleTypeImportDecl (asChild T_javafe_ast_SingleTypeImportDecl T_javafe_ast_ImportDecl)) (= (PO_LT T_javafe_ast_Name T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Name (asChild T_javafe_ast_Name T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_CompilationUnit T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_CompilationUnit (asChild T_javafe_ast_CompilationUnit T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_BreakStmt T_javafe_ast_BranchStmt) true_term) (= T_javafe_ast_BreakStmt (asChild T_javafe_ast_BreakStmt T_javafe_ast_BranchStmt)) (= (PO_LT T_java_lang_Number T_java_lang_Object) true_term) (= T_java_lang_Number (asChild T_java_lang_Number T_java_lang_Object)) (= (PO_LT T_java_lang_Number T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_VisitorArgResult T_java_lang_Object) true_term) (= T_javafe_ast_VisitorArgResult (asChild T_javafe_ast_VisitorArgResult T_java_lang_Object)) (= (PO_LT T_java_util_EscjavaKeyValue T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_GenericBlockStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_GenericBlockStmt (asChild T_javafe_ast_GenericBlockStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_AmbiguousVariableAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_AmbiguousVariableAccess (asChild T_javafe_ast_AmbiguousVariableAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_FormalParaDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_FormalParaDecl (asChild T_javafe_ast_FormalParaDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_ast_TryFinallyStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_TryFinallyStmt (asChild T_javafe_ast_TryFinallyStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_SuperObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_SuperObjectDesignator (asChild T_javafe_ast_SuperObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_javafe_ast_UnaryExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_UnaryExpr (asChild T_javafe_ast_UnaryExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_InterfaceDecl T_javafe_ast_TypeDecl) true_term) (= T_javafe_ast_InterfaceDecl (asChild T_javafe_ast_InterfaceDecl T_javafe_ast_TypeDecl)) (= (PO_LT T_javafe_ast_SynchronizeStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SynchronizeStmt (asChild T_javafe_ast_SynchronizeStmt T_javafe_ast_Stmt)) (= (PO_LT T_java_lang_IndexOutOfBoundsException T_java_lang_RuntimeException) true_term) (= T_java_lang_IndexOutOfBoundsException (asChild T_java_lang_IndexOutOfBoundsException T_java_lang_RuntimeException)) (= (PO_LT T_javafe_ast_LabelStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_LabelStmt (asChild T_javafe_ast_LabelStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_CompoundName T_javafe_ast_Name) true_term) (= T_javafe_ast_CompoundName (asChild T_javafe_ast_CompoundName T_javafe_ast_Name)) (= (PO_LT T_javafe_ast_CatchClause T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_CatchClause (asChild T_javafe_ast_CatchClause T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_FieldAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_FieldAccess (asChild T_javafe_ast_FieldAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_IdentifierVec T_java_lang_Object) true_term) (= T_javafe_ast_IdentifierVec (asChild T_javafe_ast_IdentifierVec T_java_lang_Object)) (= (PO_LT T_java_lang_Integer T_java_lang_Number) true_term) (= T_java_lang_Integer (asChild T_java_lang_Integer T_java_lang_Number)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Integer) true_term) (= ?t T_java_lang_Integer))) (= (PO_LT T_java_lang_Integer T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_ExprVec T_java_lang_Object) true_term) (= T_javafe_ast_ExprVec (asChild T_javafe_ast_ExprVec T_java_lang_Object)) (= (PO_LT T_javafe_tc_TagConstants T_javafe_parser_TagConstants) true_term) (= T_javafe_tc_TagConstants (asChild T_javafe_tc_TagConstants T_javafe_parser_TagConstants)) (= (PO_LT T_javafe_ast_ConstructorDecl T_javafe_ast_RoutineDecl) true_term) (= T_javafe_ast_ConstructorDecl (asChild T_javafe_ast_ConstructorDecl T_javafe_ast_RoutineDecl)) (= (PO_LT T_javafe_ast_SwitchStmt T_javafe_ast_GenericBlockStmt) true_term) (= T_javafe_ast_SwitchStmt (asChild T_javafe_ast_SwitchStmt T_javafe_ast_GenericBlockStmt)) (= (PO_LT T_javafe_parser_TagConstants T_javafe_ast_TagConstants) true_term) (= T_javafe_parser_TagConstants (asChild T_javafe_parser_TagConstants T_javafe_ast_TagConstants)) (= (PO_LT T_javafe_ast_ASTNode T_java_lang_Object) true_term) (= T_javafe_ast_ASTNode (asChild T_javafe_ast_ASTNode T_java_lang_Object)) (= (PO_LT T_javafe_ast_ASTNode T_java_lang_Cloneable) true_term) (= (PO_LT T_java_lang_Double T_java_lang_Number) true_term) (= T_java_lang_Double (asChild T_java_lang_Double T_java_lang_Number)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Double) true_term) (= ?t T_java_lang_Double))) (= (PO_LT T_java_lang_Double T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_PrimitiveType T_javafe_ast_Type) true_term) (= T_javafe_ast_PrimitiveType (asChild T_javafe_ast_PrimitiveType T_javafe_ast_Type)) (= (PO_LT T_javafe_ast_ReturnStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ReturnStmt (asChild T_javafe_ast_ReturnStmt T_javafe_ast_Stmt)) (= (PO_LT T_java_lang_Float T_java_lang_Number) true_term) (= T_java_lang_Float (asChild T_java_lang_Float T_java_lang_Number)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Float) true_term) (= ?t T_java_lang_Float))) (= (PO_LT T_java_lang_Float T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_ThisExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ThisExpr (asChild T_javafe_ast_ThisExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_VarInit T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_VarInit (asChild T_javafe_ast_VarInit T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_ExprObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_ExprObjectDesignator (asChild T_javafe_ast_ExprObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_java_util_Dictionary T_java_lang_Object) true_term) (= T_java_util_Dictionary (asChild T_java_util_Dictionary T_java_lang_Object)) (= (PO_LT T_java_util_Dictionary T_java_util_EscjavaKeyValue) true_term) (= (PO_LT T_javafe_ast_ForStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ForStmt (asChild T_javafe_ast_ForStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_InstanceOfExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_InstanceOfExpr (asChild T_javafe_ast_InstanceOfExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_GenericVarDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_GenericVarDecl (asChild T_javafe_ast_GenericVarDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_OnDemandImportDecl T_javafe_ast_ImportDecl) true_term) (= T_javafe_ast_OnDemandImportDecl (asChild T_javafe_ast_OnDemandImportDecl T_javafe_ast_ImportDecl)) (= (PO_LT T_javafe_ast_ClassDeclStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ClassDeclStmt (asChild T_javafe_ast_ClassDeclStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_tc_TypeSig T_javafe_ast_Type) true_term) (= T_javafe_tc_TypeSig (asChild T_javafe_tc_TypeSig T_javafe_ast_Type)) (= (PO_LT T_javafe_ast_InitBlock T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_InitBlock (asChild T_javafe_ast_InitBlock T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_InitBlock T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_ArrayRefExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ArrayRefExpr (asChild T_javafe_ast_ArrayRefExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ArrayType T_javafe_ast_Type) true_term) (= T_javafe_ast_ArrayType (asChild T_javafe_ast_ArrayType T_javafe_ast_Type)) (= (PO_LT T_javafe_ast_BranchStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_BranchStmt (asChild T_javafe_ast_BranchStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ASTDecoration T_java_lang_Object) true_term) (= T_javafe_ast_ASTDecoration (asChild T_javafe_ast_ASTDecoration T_java_lang_Object)) (= (PO_LT T_javafe_ast_VarInitVec T_java_lang_Object) true_term) (= T_javafe_ast_VarInitVec (asChild T_javafe_ast_VarInitVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_Visitor T_java_lang_Object) true_term) (= T_javafe_ast_Visitor (asChild T_javafe_ast_Visitor T_java_lang_Object)) (= (PO_LT T_javafe_ast_SwitchLabel T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SwitchLabel (asChild T_javafe_ast_SwitchLabel T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_FieldDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_FieldDecl (asChild T_javafe_ast_FieldDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_ast_FieldDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_BinaryExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_BinaryExpr (asChild T_javafe_ast_BinaryExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ClassDecl T_javafe_ast_TypeDecl) true_term) (= T_javafe_ast_ClassDecl (asChild T_javafe_ast_ClassDecl T_javafe_ast_TypeDecl)) (= (PO_LT T_javafe_ast_ClassLiteral T_javafe_ast_Expr) true_term) (= T_javafe_ast_ClassLiteral (asChild T_javafe_ast_ClassLiteral T_javafe_ast_Expr)) (= (PO_LT T_java_lang_String T_java_lang_Object) true_term) (= T_java_lang_String (asChild T_java_lang_String T_java_lang_Object)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_String) true_term) (= ?t T_java_lang_String))) (= (PO_LT T_java_lang_String T_java_io_Serializable) true_term) (= (PO_LT T_java_lang_String T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_DoStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_DoStmt (asChild T_javafe_ast_DoStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_NewArrayExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_NewArrayExpr (asChild T_javafe_ast_NewArrayExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_AmbiguousMethodInvocation T_javafe_ast_Expr) true_term) (= T_javafe_ast_AmbiguousMethodInvocation (asChild T_javafe_ast_AmbiguousMethodInvocation T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ContinueStmt T_javafe_ast_BranchStmt) true_term) (= T_javafe_ast_ContinueStmt (asChild T_javafe_ast_ContinueStmt T_javafe_ast_BranchStmt)) (= (PO_LT T_javafe_ast_SimpleName T_javafe_ast_Name) true_term) (= T_javafe_ast_SimpleName (asChild T_javafe_ast_SimpleName T_javafe_ast_Name)) (and (= arrayType (+ DIST_ZERO_1 0)) (= T_boolean (+ DIST_ZERO_1 1)) (= T_char (+ DIST_ZERO_1 2)) (= T_byte (+ DIST_ZERO_1 3)) (= T_short (+ DIST_ZERO_1 4)) (= T_int (+ DIST_ZERO_1 5)) (= T_long (+ DIST_ZERO_1 6)) (= T_float (+ DIST_ZERO_1 7)) (= T_double (+ DIST_ZERO_1 8)) (= T__TYPE (+ DIST_ZERO_1 9)) (= T_javafe_ast_ConstructorInvocation (+ DIST_ZERO_1 10)) (= T_javafe_ast_VariableAccess (+ DIST_ZERO_1 11)) (= T_javafe_ast_ImportDecl (+ DIST_ZERO_1 12)) (= T_javafe_ast_TryCatchStmt (+ DIST_ZERO_1 13)) (= T_javafe_ast_OperatorTags (+ DIST_ZERO_1 14)) (= T_javafe_ast_TagConstants (+ DIST_ZERO_1 15)) (= T_javafe_tc_FieldDeclVec (+ DIST_ZERO_1 16)) (= T_javafe_ast_BlockStmt (+ DIST_ZERO_1 17)) (= T_javafe_ast_RoutineDecl (+ DIST_ZERO_1 18)) (= T_javafe_ast_Stmt (+ DIST_ZERO_1 19)) (= T_javafe_ast_Expr (+ DIST_ZERO_1 20)) (= T_javafe_ast_TypeDeclElem (+ DIST_ZERO_1 21)) (= T_javafe_ast_ParenExpr (+ DIST_ZERO_1 22)) (= T_javafe_ast_Type (+ DIST_ZERO_1 23)) (= T_javafe_ast_EvalStmt (+ DIST_ZERO_1 24)) (= T_javafe_ast_TypeObjectDesignator (+ DIST_ZERO_1 25)) (= T_java_lang_RuntimeException (+ DIST_ZERO_1 26)) (= T_javafe_ast_GeneratedTags (+ DIST_ZERO_1 27)) (= T_javafe_ast_IfStmt (+ DIST_ZERO_1 28)) (= T_javafe_ast_ArrayInit (+ DIST_ZERO_1 29)) (= T_java_lang_Exception (+ DIST_ZERO_1 30)) (= T_java_util_Map (+ DIST_ZERO_1 31)) (= T_java_lang_Long (+ DIST_ZERO_1 32)) (= T_javafe_ast_CondExpr (+ DIST_ZERO_1 33)) (= T_javafe_util_Location (+ DIST_ZERO_1 34)) (= T_javafe_tc_MethodDeclVec (+ DIST_ZERO_1 35)) (= T_javafe_ast_VarDeclStmt (+ DIST_ZERO_1 36)) (= T_javafe_ast_MethodDecl (+ DIST_ZERO_1 37)) (= T_javafe_ast_TypeName (+ DIST_ZERO_1 38)) (= T_javafe_ast_LiteralExpr (+ DIST_ZERO_1 39)) (= T_java_lang_Throwable (+ DIST_ZERO_1 40)) (= T_javafe_ast_ThrowStmt (+ DIST_ZERO_1 41)) (= T_java_lang_Boolean (+ DIST_ZERO_1 42)) (= T_java_io_Serializable (+ DIST_ZERO_1 43)) (= T_javafe_ast_SkipStmt (+ DIST_ZERO_1 44)) (= T_java_lang_Comparable (+ DIST_ZERO_1 45)) (= T_javafe_ast_LocalVarDecl (+ DIST_ZERO_1 46)) (= T_javafe_ast_ObjectDesignator (+ DIST_ZERO_1 47)) (= T_javafe_ast_CastExpr (+ DIST_ZERO_1 48)) (= T_javafe_ast_WhileStmt (+ DIST_ZERO_1 49)) (= T_javafe_ast_TypeDecl (+ DIST_ZERO_1 50)) (= T_javafe_ast_MethodInvocation (+ DIST_ZERO_1 51)) (= T_java_lang_Cloneable (+ DIST_ZERO_1 52)) (= T_javafe_ast_Identifier (+ DIST_ZERO_1 53)) (= T_javafe_ast_NewInstanceExpr (+ DIST_ZERO_1 54)) (= T_java_lang_Object (+ DIST_ZERO_1 55)) (= T_java_util_Hashtable (+ DIST_ZERO_1 56)) (= T_javafe_tc_Env (+ DIST_ZERO_1 57)) (= T_javafe_ast_SingleTypeImportDecl (+ DIST_ZERO_1 58)) (= T_javafe_ast_Name (+ DIST_ZERO_1 59)) (= T_javafe_ast_CompilationUnit (+ DIST_ZERO_1 60)) (= T_javafe_ast_BreakStmt (+ DIST_ZERO_1 61)) (= T_java_lang_Number (+ DIST_ZERO_1 62)) (= T_javafe_ast_VisitorArgResult (+ DIST_ZERO_1 63)) (= T_java_util_EscjavaKeyValue (+ DIST_ZERO_1 64)) (= T_javafe_ast_GenericBlockStmt (+ DIST_ZERO_1 65)) (= T_javafe_ast_AmbiguousVariableAccess (+ DIST_ZERO_1 66)) (= T_javafe_ast_FormalParaDecl (+ DIST_ZERO_1 67)) (= T_javafe_ast_TryFinallyStmt (+ DIST_ZERO_1 68)) (= T_javafe_ast_SuperObjectDesignator (+ DIST_ZERO_1 69)) (= T_javafe_ast_UnaryExpr (+ DIST_ZERO_1 70)) (= T_javafe_ast_InterfaceDecl (+ DIST_ZERO_1 71)) (= T_javafe_ast_SynchronizeStmt (+ DIST_ZERO_1 72)) (= T_java_lang_IndexOutOfBoundsException (+ DIST_ZERO_1 73)) (= T_javafe_ast_LabelStmt (+ DIST_ZERO_1 74)) (= T_javafe_ast_CompoundName (+ DIST_ZERO_1 75)) (= T_javafe_ast_CatchClause (+ DIST_ZERO_1 76)) (= T_javafe_ast_FieldAccess (+ DIST_ZERO_1 77)) (= T_javafe_ast_IdentifierVec (+ DIST_ZERO_1 78)) (= T_java_lang_Integer (+ DIST_ZERO_1 79)) (= T_javafe_ast_ExprVec (+ DIST_ZERO_1 80)) (= T_javafe_tc_TagConstants (+ DIST_ZERO_1 81)) (= T_javafe_ast_ConstructorDecl (+ DIST_ZERO_1 82)) (= T_javafe_ast_SwitchStmt (+ DIST_ZERO_1 83)) (= T_javafe_parser_TagConstants (+ DIST_ZERO_1 84)) (= T_javafe_ast_ASTNode (+ DIST_ZERO_1 85)) (= T_java_lang_Double (+ DIST_ZERO_1 86)) (= T_javafe_ast_PrimitiveType (+ DIST_ZERO_1 87)) (= T_javafe_ast_ReturnStmt (+ DIST_ZERO_1 88)) (= T_java_lang_Float (+ DIST_ZERO_1 89)) (= T_javafe_ast_ThisExpr (+ DIST_ZERO_1 90)) (= T_javafe_ast_VarInit (+ DIST_ZERO_1 91)) (= T_javafe_ast_ExprObjectDesignator (+ DIST_ZERO_1 92)) (= T_java_util_Dictionary (+ DIST_ZERO_1 93)) (= T_javafe_ast_ForStmt (+ DIST_ZERO_1 94)) (= T_javafe_ast_InstanceOfExpr (+ DIST_ZERO_1 95)) (= T_javafe_ast_GenericVarDecl (+ DIST_ZERO_1 96)) (= T_javafe_ast_OnDemandImportDecl (+ DIST_ZERO_1 97)) (= T_javafe_ast_ClassDeclStmt (+ DIST_ZERO_1 98)) (= T_javafe_tc_TypeSig (+ DIST_ZERO_1 99)) (= T_javafe_ast_InitBlock (+ DIST_ZERO_1 100)) (= T_javafe_ast_ArrayRefExpr (+ DIST_ZERO_1 101)) (= T_javafe_ast_ArrayType (+ DIST_ZERO_1 102)) (= T_javafe_ast_BranchStmt (+ DIST_ZERO_1 103)) (= T_javafe_ast_ASTDecoration (+ DIST_ZERO_1 104)) (= T_javafe_ast_VarInitVec (+ DIST_ZERO_1 105)) (= T_javafe_ast_Visitor (+ DIST_ZERO_1 106)) (= T_javafe_ast_SwitchLabel (+ DIST_ZERO_1 107)) (= T_javafe_ast_FieldDecl (+ DIST_ZERO_1 108)) (= T_javafe_ast_BinaryExpr (+ DIST_ZERO_1 109)) (= T_javafe_ast_ClassDecl (+ DIST_ZERO_1 110)) (= T_javafe_ast_ClassLiteral (+ DIST_ZERO_1 111)) (= T_java_lang_String (+ DIST_ZERO_1 112)) (= T_javafe_ast_DoStmt (+ DIST_ZERO_1 113)) (= T_javafe_ast_NewArrayExpr (+ DIST_ZERO_1 114)) (= T_javafe_ast_AmbiguousMethodInvocation (+ DIST_ZERO_1 115)) (= T_javafe_ast_ContinueStmt (+ DIST_ZERO_1 116)) (= T_javafe_ast_SimpleName (+ DIST_ZERO_1 117))) (= true_term (is TRYCATCHSTMT_19_43_7 T_int)) (= TRYCATCHSTMT_19_43_7 29) (= true_term (is UNARYSUB_18_55_26 T_int)) (= UNARYSUB_18_55_26 86) (= true_term (is TYPEDECLELEMPRAGMA_29_27_26 T_int)) (= TYPEDECLELEMPRAGMA_29_27_26 117) (= true_term (is SHORTTYPE_17_36_26 T_int)) (= SHORTTYPE_17_36_26 103) (= true_term (is WHILESTMT_19_29_7 T_int)) (= WHILESTMT_19_29_7 15) (= true_term (is STAR_18_37_26 T_int)) (= STAR_18_37_26 72) (= true_term (is VARIABLEACCESS_19_56_7 T_int)) (= VARIABLEACCESS_19_56_7 42) (= true_term (is SINGLETYPEIMPORTDECL_19_15_7 T_int)) (= SINGLETYPEIMPORTDECL_19_15_7 1) (= true_term (is BITAND_18_23_26 T_int)) (= BITAND_18_23_26 58) (= true_term (is TRYFINALLYSTMT_19_42_7 T_int)) (= TRYFINALLYSTMT_19_42_7 28) (= true_term (is UNARYADD_18_54_26 T_int)) (= UNARYADD_18_54_26 85) (= true_term (is BYTETYPE_17_35_26 T_int)) (= BYTETYPE_17_35_26 102) (= true_term (is CLASSDECLSTMT_19_28_7 T_int)) (= CLASSDECLSTMT_19_28_7 14) (= true_term (is MOD_18_36_26 T_int)) (= MOD_18_36_26 71) (= true_term (is STMTPRAGMA_29_26_26 T_int)) (= STMTPRAGMA_29_26_26 116) (= true_term (is AMBIGUOUSVARIABLEACCESS_19_55_7 T_int)) (= AMBIGUOUSVARIABLEACCESS_19_55_7 41) (= true_term (is COMPILATIONUNIT_19_14_7 T_int)) (= COMPILATIONUNIT_19_14_7 0) (= true_term (is BITXOR_18_22_26 T_int)) (= BITXOR_18_22_26 57) (= true_term (is SWITCHLABEL_19_41_7 T_int)) (= SWITCHLABEL_19_41_7 27) (= true_term (is ASGBITXOR_18_51_26 T_int)) (= ASGBITXOR_18_51_26 84) (= true_term (is punctuationStrings_29_134_22 ?v_0)) (not (= punctuationStrings_29_134_22 null)) (= (typeof punctuationStrings_29_134_22) ?v_0) (= (arrayLength punctuationStrings_29_134_22) 48) (= true_term (is NULLTYPE_17_34_26 T_int)) (= NULLTYPE_17_34_26 101) (= true_term (is VARDECLSTMT_19_27_7 T_int)) (= VARDECLSTMT_19_27_7 13) (= true_term (is DIV_18_35_26 T_int)) (= DIV_18_35_26 70) (= true_term (is otherStrings_29_193_30 ?v_0)) (not (= otherStrings_29_193_30 null)) (= (typeof otherStrings_29_193_30) ?v_0) (= (arrayLength otherStrings_29_193_30) 15) (= true_term (is PARENEXPR_19_54_7 T_int)) (= PARENEXPR_19_54_7 40) (= true_term (is BITOR_18_21_26 T_int)) (= BITOR_18_21_26 56) (= true_term (is MODIFIERPRAGMA_29_25_26 T_int)) (= MODIFIERPRAGMA_29_25_26 115) (= true_term (is noTokens_29_212_27 T_int)) (= true_term (is SKIPSTMT_19_40_7 T_int)) (= SKIPSTMT_19_40_7 26) (= true_term (is ASGBITOR_18_50_26 T_int)) (= ASGBITOR_18_50_26 83) (= true_term (is COMPOUNDNAME_19_67_7 T_int)) (= COMPOUNDNAME_19_67_7 53) (= true_term (is VOIDTYPE_17_33_26 T_int)) (= VOIDTYPE_17_33_26 100) (= true_term (is SWITCHSTMT_19_26_7 T_int)) (= SWITCHSTMT_19_26_7 12) (= true_term (is SUB_18_34_26 T_int)) (= SUB_18_34_26 69) (= true_term (is CASTEXPR_19_53_7 T_int)) (= CASTEXPR_19_53_7 39) (= true_term (is TYPESIG_28_6_28 T_int)) (= TYPESIG_28_6_28 184) (= true_term (is FORSTMT_19_39_7 T_int)) (= FORSTMT_19_39_7 25) (= true_term (is LEXICALPRAGMA_29_24_26 T_int)) (= LEXICALPRAGMA_29_24_26 114) (= true_term (is ASGBITAND_18_49_26 T_int)) (= ASGBITAND_18_49_26 82) (= true_term (is SIMPLENAME_19_66_7 T_int)) (= SIMPLENAME_19_66_7 52) (= true_term (is DOUBLETYPE_17_32_26 T_int)) (= DOUBLETYPE_17_32_26 99) (= true_term (is BLOCKSTMT_19_25_7 T_int)) (= BLOCKSTMT_19_25_7 11) (= true_term (is ADD_18_33_26 T_int)) (= ADD_18_33_26 68) (= true_term (is FIRST_KEYWORD_29_51_26 T_int)) (= FIRST_KEYWORD_29_51_26 133) (= true_term (is INSTANCEOFEXPR_19_52_7 T_int)) (= INSTANCEOFEXPR_19_52_7 38) (= true_term (is AND_18_20_26 T_int)) (= AND_18_20_26 55) (= true_term (is IFSTMT_19_38_7 T_int)) (= IFSTMT_19_38_7 24) (= true_term (is LAST_KEYWORD_29_103_26 T_int)) (= LAST_KEYWORD_29_103_26 183) (= true_term (is ASGURSHIFT_18_48_26 T_int)) (= ASGURSHIFT_18_48_26 81) (= true_term (is ARRAYTYPE_19_65_7 T_int)) (= ARRAYTYPE_19_65_7 51) (= true_term (is FORMALPARADECL_19_24_7 T_int)) (= FORMALPARADECL_19_24_7 10) (= true_term (is FLOATTYPE_17_31_26 T_int)) (= FLOATTYPE_17_31_26 98) (= true_term (is URSHIFT_18_32_26 T_int)) (= URSHIFT_18_32_26 67) (= true_term (is CONDEXPR_19_51_7 T_int)) (= CONDEXPR_19_51_7 37) (= true_term (is OR_18_19_26 T_int)) (= OR_18_19_26 54) (= true_term (is LABELSTMT_19_37_7 T_int)) (= LABELSTMT_19_37_7 23) (= true_term (is NULLLIT_17_45_26 T_int)) (= NULLLIT_17_45_26 111) (= true_term (is ASGRSHIFT_18_47_26 T_int)) (= ASGRSHIFT_18_47_26 80) (= true_term (is NULL_29_82_26 T_int)) (= NULL_29_82_26 163) (= true_term (is TYPENAME_19_64_7 T_int)) (= TYPENAME_19_64_7 50) (= true_term (is CHARTYPE_17_30_26 T_int)) (= CHARTYPE_17_30_26 97) (= true_term (is FIELDDECL_19_23_7 T_int)) (= FIELDDECL_19_23_7 9) (= true_term (is RSHIFT_18_31_26 T_int)) (= RSHIFT_18_31_26 66) (= true_term (is NEWARRAYEXPR_19_50_7 T_int)) (= NEWARRAYEXPR_19_50_7 36) (= true_term (is CONTINUESTMT_19_36_7 T_int)) (= CONTINUESTMT_19_36_7 22) (= true_term (is STRINGLIT_17_44_26 T_int)) (= STRINGLIT_17_44_26 110) (= true_term (is ASGLSHIFT_18_46_26 T_int)) (= ASGLSHIFT_18_46_26 79) (= true_term (is SUPEROBJECTDESIGNATOR_19_63_7 T_int)) (= SUPEROBJECTDESIGNATOR_19_63_7 49) (= true_term (is LONGTYPE_17_29_26 T_int)) (= LONGTYPE_17_29_26 96) (= true_term (is LOCALVARDECL_19_22_7 T_int)) (= LOCALVARDECL_19_22_7 8) (= true_term (is LSHIFT_18_30_26 T_int)) (= LSHIFT_18_30_26 65) (= true_term (is NEWINSTANCEEXPR_19_49_7 T_int)) (= NEWINSTANCEEXPR_19_49_7 35) (= true_term (is POSTFIXDEC_18_63_26 T_int)) (= POSTFIXDEC_18_63_26 92) (= true_term (is BREAKSTMT_19_35_7 T_int)) (= BREAKSTMT_19_35_7 21) (= true_term (is DOUBLELIT_17_43_26 T_int)) (= DOUBLELIT_17_43_26 109) (= true_term (is ASGSUB_18_45_26 T_int)) (= ASGSUB_18_45_26 78) (= true_term (is TYPEOBJECTDESIGNATOR_19_62_7 T_int)) (= TYPEOBJECTDESIGNATOR_19_62_7 48) (= true_term (is INTTYPE_17_28_26 T_int)) (= INTTYPE_17_28_26 95) (= true_term (is INITBLOCK_19_21_7 T_int)) (= INITBLOCK_19_21_7 7) (= true_term (is LT_18_29_26 T_int)) (= LT_18_29_26 64) (= true_term (is ARRAYREFEXPR_19_48_7 T_int)) (= ARRAYREFEXPR_19_48_7 34) (= true_term (is POSTFIXINC_18_62_26 T_int)) (= POSTFIXINC_18_62_26 91) (= true_term (is THROWSTMT_19_34_7 T_int)) (= THROWSTMT_19_34_7 20) (= true_term (is FLOATLIT_17_42_26 T_int)) (= FLOATLIT_17_42_26 108) (= true_term (is ASGADD_18_44_26 T_int)) (= ASGADD_18_44_26 77) (= true_term (is EXPROBJECTDESIGNATOR_19_61_7 T_int)) (= EXPROBJECTDESIGNATOR_19_61_7 47) (= true_term (is otherCodes_29_202_27 ?v_1)) (not (= otherCodes_29_202_27 null)) (= (typeof otherCodes_29_202_27) ?v_1) (= (arrayLength otherCodes_29_202_27) 15) (= true_term (is BOOLEANTYPE_17_27_26 T_int)) (= BOOLEANTYPE_17_27_26 94) (= true_term (is METHODDECL_19_20_7 T_int)) (= METHODDECL_19_20_7 6) (= true_term (is LE_18_28_26 T_int)) (= LE_18_28_26 63) (= true_term (is THISEXPR_19_47_7 T_int)) (= THISEXPR_19_47_7 33) (= true_term (is DEC_18_59_26 T_int)) (= DEC_18_59_26 90) (= true_term (is CHARLIT_17_41_26 T_int)) (= CHARLIT_17_41_26 107) (= true_term (is RETURNSTMT_19_33_7 T_int)) (= RETURNSTMT_19_33_7 19) (= true_term (is punctuationCodes_29_164_19 ?v_1)) (not (= punctuationCodes_29_164_19 null)) (= (typeof punctuationCodes_29_164_19) ?v_1) (= (arrayLength punctuationCodes_29_164_19) 48) (= true_term (is ASGREM_18_43_26 T_int)) (= ASGREM_18_43_26 76) (= true_term (is CLASSLITERAL_19_60_7 T_int)) (= CLASSLITERAL_19_60_7 46) (= true_term (is IDENT_17_25_26 T_int)) (= IDENT_17_25_26 93) (= true_term (is CONSTRUCTORDECL_19_19_7 T_int)) (= CONSTRUCTORDECL_19_19_7 5) (= true_term (is GT_18_27_26 T_int)) (= GT_18_27_26 62) (= true_term (is ARRAYINIT_19_46_7 T_int)) (= ARRAYINIT_19_46_7 32) (= true_term (is INC_18_58_26 T_int)) (= INC_18_58_26 89) (= true_term (is LONGLIT_17_40_26 T_int)) (= LONGLIT_17_40_26 106) (= true_term (is EVALSTMT_19_32_7 T_int)) (= EVALSTMT_19_32_7 18) (= true_term (is ASGDIV_18_42_26 T_int)) (= ASGDIV_18_42_26 75) (= true_term (is METHODINVOCATION_19_59_7 T_int)) (= METHODINVOCATION_19_59_7 45) (= true_term (is INTERFACEDECL_19_18_7 T_int)) (= INTERFACEDECL_19_18_7 4) (= true_term (is GE_18_26_26 T_int)) (= GE_18_26_26 61) (= true_term (is CATCHCLAUSE_19_45_7 T_int)) (= CATCHCLAUSE_19_45_7 31) (= true_term (is BITNOT_18_57_26 T_int)) (= BITNOT_18_57_26 88) (= true_term (is keywordStrings_29_181_30 ?v_0)) (not (= keywordStrings_29_181_30 null)) (= (typeof keywordStrings_29_181_30) ?v_0) (= (arrayLength keywordStrings_29_181_30) 51) (= true_term (is NULL_13_60_26 T_int)) (= NULL_13_60_26 0) (= true_term (is SYNCHRONIZESTMT_19_31_7 T_int)) (= SYNCHRONIZESTMT_19_31_7 17) (= true_term (is INTLIT_17_39_26 T_int)) (= INTLIT_17_39_26 105) (= true_term (is ASGMUL_18_41_26 T_int)) (= ASGMUL_18_41_26 74) (= true_term (is AMBIGUOUSMETHODINVOCATION_19_58_7 T_int)) (= AMBIGUOUSMETHODINVOCATION_19_58_7 44) (= true_term (is CLASSDECL_19_17_7 T_int)) (= CLASSDECL_19_17_7 3) (= true_term (is EQ_18_25_26 T_int)) (= EQ_18_25_26 60) (= true_term (is TYPEMODIFIERPRAGMA_29_28_26 T_int)) (= TYPEMODIFIERPRAGMA_29_28_26 118) (= true_term (is CONSTRUCTORINVOCATION_19_44_7 T_int)) (= CONSTRUCTORINVOCATION_19_44_7 30) (= true_term (is NOT_18_56_26 T_int)) (= NOT_18_56_26 87) (= true_term (is BOOLEANLIT_17_38_26 T_int)) (= BOOLEANLIT_17_38_26 104) (= true_term (is DOSTMT_19_30_7 T_int)) (= DOSTMT_19_30_7 16) (= true_term (is ASSIGN_18_40_26 T_int)) (= ASSIGN_18_40_26 73) (= true_term (is FIELDACCESS_19_57_7 T_int)) (= FIELDACCESS_19_57_7 43) (= true_term (is ONDEMANDIMPORTDECL_19_16_7 T_int)) (= ONDEMANDIMPORTDECL_19_16_7 2) (= true_term (is NE_18_24_26 T_int)) (= NE_18_24_26 59))))
+(declare-fun locOpenBrace_pre_80_36_13 () Int)
+(declare-fun locOpenBrace_80_36_13 () Int)
+(declare-fun TRYCATCHSTMT_pre_19_43_7 () Int)
+(declare-fun UNARYSUB_pre_18_55_26 () Int)
+(declare-fun TYPEDECLELEMPRAGMA_pre_29_27_26 () Int)
+(declare-fun SHORTTYPE_pre_17_36_26 () Int)
+(declare-fun WHILESTMT_pre_19_29_7 () Int)
+(declare-fun body_pre_80_34_19 () Int)
+(declare-fun body_80_34_19 () Int)
+(declare-fun STAR_pre_18_37_26 () Int)
+(declare-fun elems_pre_18_34 () Int)
+(declare-fun elems_18_34 () Int)
+(declare-fun enclosingInstance_pre_122_25_14 () Int)
+(declare-fun enclosingInstance_122_25_14 () Int)
+(declare-fun loc_pre_110_18_13 () Int)
+(declare-fun loc_110_18_13 () Int)
+(declare-fun elements_pre_124_61_33 () Int)
+(declare-fun elements_124_61_33 () Int)
+(declare-fun loc_pre_122_49_13 () Int)
+(declare-fun loc_122_49_13 () Int)
+(declare-fun VARIABLEACCESS_pre_19_56_7 () Int)
+(declare-fun SINGLETYPEIMPORTDECL_pre_19_15_7 () Int)
+(declare-fun BITAND_pre_18_23_26 () Int)
+(declare-fun locCloseBrace_pre_90_25_13 () Int)
+(declare-fun locCloseBrace_90_25_13 () Int)
+(declare-fun loc_pre_139_20_13 () Int)
+(declare-fun loc_139_20_13 () Int)
+(declare-fun TRYFINALLYSTMT_pre_19_42_7 () Int)
+(declare-fun UNARYADD_pre_18_54_26 () Int)
+(declare-fun locFirstSemi_pre_114_36_13 () Int)
+(declare-fun locFirstSemi_114_36_13 () Int)
+(declare-fun elemType_pre_138_18_28 () Int)
+(declare-fun elemType_138_18_28 () Int)
+(declare-fun BYTETYPE_pre_17_35_26 () Int)
+(declare-fun CLASSDECLSTMT_pre_19_28_7 () Int)
+(declare-fun MOD_pre_18_36_26 () Int)
+(declare-fun STMTPRAGMA_pre_29_26_26 () Int)
+(declare-fun op_pre_26_26_13 () Int)
+(declare-fun op_26_26_13 () Int)
+(declare-fun init_pre_123_35_19 () Int)
+(declare-fun init_123_35_19 () Int)
+(declare-fun AMBIGUOUSVARIABLEACCESS_pre_19_55_7 () Int)
+(declare-fun COMPILATIONUNIT_pre_19_14_7 () Int)
+(declare-fun BITXOR_pre_18_22_26 () Int)
+(declare-fun locCloseParen_pre_127_24_13 () Int)
+(declare-fun locCloseParen_127_24_13 () Int)
+(declare-fun locKeyword_pre_119_45_13 () Int)
+(declare-fun locKeyword_119_45_13 () Int)
+(declare-fun SWITCHLABEL_pre_19_41_7 () Int)
+(declare-fun ASGBITXOR_pre_18_51_26 () Int)
+(declare-fun punctuationStrings_pre_29_134_22 () Int)
+(declare-fun locOpenParen_pre_105_23_13 () Int)
+(declare-fun locOpenParen_105_23_13 () Int)
+(declare-fun tag_pre_27_30_13 () Int)
+(declare-fun tag_27_30_13 () Int)
+(declare-fun loc_pre_62_45_13 () Int)
+(declare-fun loc_62_45_13 () Int)
+(declare-fun loc_pre_93_18_13 () Int)
+(declare-fun loc_93_18_13 () Int)
+(declare-fun elements_pre_14_61_36 () Int)
+(declare-fun elements_14_61_36 () Int)
+(declare-fun NULLTYPE_pre_17_34_26 () Int)
+(declare-fun VARDECLSTMT_pre_19_27_7 () Int)
+(declare-fun DIV_pre_18_35_26 () Int)
+(declare-fun locOpenParen_pre_128_18_13 () Int)
+(declare-fun locOpenParen_128_18_13 () Int)
+(declare-fun type_pre_126_18_28 () Int)
+(declare-fun type_126_18_28 () Int)
+(declare-fun count_pre_124_67_33 () Int)
+(declare-fun count_124_67_33 () Int)
+(declare-fun otherStrings_pre_29_193_30 () Int)
+(declare-fun loc_pre_23_50_13 () Int)
+(declare-fun loc_23_50_13 () Int)
+(declare-fun PARENEXPR_pre_19_54_7 () Int)
+(declare-fun loc_pre_83_29_13 () Int)
+(declare-fun loc_83_29_13 () Int)
+(declare-fun BITOR_pre_18_21_26 () Int)
+(declare-fun loc_pre_100_18_13 () Int)
+(declare-fun loc_100_18_13 () Int)
+(declare-fun MODIFIERPRAGMA_pre_29_25_26 () Int)
+(declare-fun noTokens_pre_29_212_27 () Int)
+(declare-fun tag_pre_23_32_13 () Int)
+(declare-fun tag_23_32_13 () Int)
+(declare-fun SKIPSTMT_pre_19_40_7 () Int)
+(declare-fun ASGBITOR_pre_18_50_26 () Int)
+(declare-fun loc_pre_118_23_13 () Int)
+(declare-fun loc_118_23_13 () Int)
+(declare-fun superCall_pre_119_24_17 () Int)
+(declare-fun superCall_119_24_17 () Int)
+(declare-fun locQuestion_pre_125_22_13 () Int)
+(declare-fun locQuestion_125_22_13 () Int)
+(declare-fun COMPOUNDNAME_pre_19_67_7 () Int)
+(declare-fun VOIDTYPE_pre_17_33_26 () Int)
+(declare-fun SWITCHSTMT_pre_19_26_7 () Int)
+(declare-fun SUB_pre_18_34_26 () Int)
+(declare-fun locDot_pre_84_21_13 () Int)
+(declare-fun locDot_84_21_13 () Int)
+(declare-fun CASTEXPR_pre_19_53_7 () Int)
+(declare-fun locSuper_pre_137_20_13 () Int)
+(declare-fun locSuper_137_20_13 () Int)
+(declare-fun TYPESIG_pre_28_6_28 () Int)
+(declare-fun FORSTMT_pre_19_39_7 () Int)
+(declare-fun count_pre_14_67_33 () Int)
+(declare-fun count_14_67_33 () Int)
+(declare-fun locOpenBrace_pre_90_22_13 () Int)
+(declare-fun locOpenBrace_90_22_13 () Int)
+(declare-fun LEXICALPRAGMA_pre_29_24_26 () Int)
+(declare-fun ASGBITAND_pre_18_49_26 () Int)
+(declare-fun locDot_pre_133_23_13 () Int)
+(declare-fun locDot_133_23_13 () Int)
+(declare-fun SIMPLENAME_pre_19_66_7 () Int)
+(declare-fun DOUBLETYPE_pre_17_32_26 () Int)
+(declare-fun BLOCKSTMT_pre_19_25_7 () Int)
+(declare-fun locId_pre_112_20_13 () Int)
+(declare-fun locId_112_20_13 () Int)
+(declare-fun ADD_pre_18_33_26 () Int)
+(declare-fun locId_pre_80_43_13 () Int)
+(declare-fun locId_80_43_13 () Int)
+(declare-fun FIRST_KEYWORD_pre_29_51_26 () Int)
+(declare-fun INSTANCEOFEXPR_pre_19_52_7 () Int)
+(declare-fun loc_pre_113_22_13 () Int)
+(declare-fun loc_113_22_13 () Int)
+(declare-fun AND_pre_18_20_26 () Int)
+(declare-fun locId_pre_77_38_13 () Int)
+(declare-fun locId_77_38_13 () Int)
+(declare-fun IFSTMT_pre_19_38_7 () Int)
+(declare-fun LAST_KEYWORD_pre_29_103_26 () Int)
+(declare-fun ASGURSHIFT_pre_18_48_26 () Int)
+(declare-fun locOpenParen_pre_127_21_13 () Int)
+(declare-fun locOpenParen_127_21_13 () Int)
+(declare-fun locDot_pre_119_41_13 () Int)
+(declare-fun locDot_119_41_13 () Int)
+(declare-fun loc_pre_114_33_13 () Int)
+(declare-fun loc_114_33_13 () Int)
+(declare-fun ARRAYTYPE_pre_19_65_7 () Int)
+(declare-fun locFinally_pre_117_25_13 () Int)
+(declare-fun locFinally_117_25_13 () Int)
+(declare-fun FORMALPARADECL_pre_19_24_7 () Int)
+(declare-fun FLOATTYPE_pre_17_31_26 () Int)
+(declare-fun URSHIFT_pre_18_32_26 () Int)
+(declare-fun locOpenParen_pre_132_28_13 () Int)
+(declare-fun locOpenParen_132_28_13 () Int)
+(declare-fun locOp_pre_25_43_13 () Int)
+(declare-fun locOp_25_43_13 () Int)
+(declare-fun value_pre_23_45_16 () Int)
+(declare-fun value_23_45_16 () Int)
+(declare-fun locId_pre_130_24_13 () Int)
+(declare-fun locId_130_24_13 () Int)
+(declare-fun CONDEXPR_pre_19_51_7 () Int)
+(declare-fun elements_pre_140_61_39 () Int)
+(declare-fun elements_140_61_39 () Int)
+(declare-fun locCloseBrace_pre_24_13 () Int)
+(declare-fun locCloseBrace_24_13 () Int)
+(declare-fun OR_pre_18_19_26 () Int)
+(declare-fun LABELSTMT_pre_19_37_7 () Int)
+(declare-fun NULLLIT_pre_17_45_26 () Int)
+(declare-fun ASGRSHIFT_pre_18_47_26 () Int)
+(declare-fun locGuardOpenParen_pre_103_23_13 () Int)
+(declare-fun locGuardOpenParen_103_23_13 () Int)
+(declare-fun elements_pre_73_61_39 () Int)
+(declare-fun elements_73_61_39 () Int)
+(declare-fun loc_pre_108_18_13 () Int)
+(declare-fun loc_108_18_13 () Int)
+(declare-fun NULL_pre_29_82_26 () Int)
+(declare-fun TYPENAME_pre_19_64_7 () Int)
+(declare-fun CHARTYPE_pre_17_30_26 () Int)
+(declare-fun FIELDDECL_pre_19_23_7 () Int)
+(declare-fun RSHIFT_pre_18_31_26 () Int)
+(declare-fun locCloseBrace_pre_62_54_13 () Int)
+(declare-fun locCloseBrace_62_54_13 () Int)
+(declare-fun loc_pre_105_20_13 () Int)
+(declare-fun loc_105_20_13 () Int)
+(declare-fun loc_pre_123_49_13 () Int)
+(declare-fun loc_123_49_13 () Int)
+(declare-fun loc_pre_104_20_13 () Int)
+(declare-fun loc_104_20_13 () Int)
+(declare-fun NEWARRAYEXPR_pre_19_50_7 () Int)
+(declare-fun locType_pre_79_21_13 () Int)
+(declare-fun locType_79_21_13 () Int)
+(declare-fun type_pre_123_24_28 () Int)
+(declare-fun type_123_24_28 () Int)
+(declare-fun I_will_establish_invariants_afterwards_pre_5_236_34 () Int)
+(declare-fun I_will_establish_invariants_afterwards_5_236_34 () Int)
+(declare-fun CONTINUESTMT_pre_19_36_7 () Int)
+(declare-fun STRINGLIT_pre_17_44_26 () Int)
+(declare-fun ASGLSHIFT_pre_18_46_26 () Int)
+(declare-fun SUPEROBJECTDESIGNATOR_pre_19_63_7 () Int)
+(declare-fun LONGTYPE_pre_17_29_26 () Int)
+(declare-fun LOCALVARDECL_pre_19_22_7 () Int)
+(declare-fun LSHIFT_pre_18_30_26 () Int)
+(declare-fun loc_pre_80_40_13 () Int)
+(declare-fun loc_80_40_13 () Int)
+(declare-fun loc_pre_120_22_13 () Int)
+(declare-fun loc_120_22_13 () Int)
+(declare-fun NEWINSTANCEEXPR_pre_19_49_7 () Int)
+(declare-fun loc_pre_129_22_13 () Int)
+(declare-fun loc_129_22_13 () Int)
+(declare-fun locOpenBrackets_pre_123_65_29 () Int)
+(declare-fun locOpenBrackets_123_65_29 () Int)
+(declare-fun POSTFIXDEC_pre_18_63_26 () Int)
+(declare-fun BREAKSTMT_pre_19_35_7 () Int)
+(declare-fun type_pre_77_35_28 () Int)
+(declare-fun type_77_35_28 () Int)
+(declare-fun count_pre_140_67_33 () Int)
+(declare-fun count_140_67_33 () Int)
+(declare-fun DOUBLELIT_pre_17_43_26 () Int)
+(declare-fun ASGSUB_pre_18_45_26 () Int)
+(declare-fun ids_pre_141_19_37 () Int)
+(declare-fun ids_141_19_37 () Int)
+(declare-fun type_pre_127_18_28 () Int)
+(declare-fun type_127_18_28 () Int)
+(declare-fun TYPEOBJECTDESIGNATOR_pre_19_62_7 () Int)
+(declare-fun count_pre_73_67_33 () Int)
+(declare-fun count_73_67_33 () Int)
+(declare-fun INTTYPE_pre_17_28_26 () Int)
+(declare-fun INITBLOCK_pre_19_21_7 () Int)
+(declare-fun LT_pre_18_29_26 () Int)
+(declare-fun locId_pre_132_25_13 () Int)
+(declare-fun locId_132_25_13 () Int)
+(declare-fun type_pre_133_20_28 () Int)
+(declare-fun type_133_20_28 () Int)
+(declare-fun ARRAYREFEXPR_pre_19_48_7 () Int)
+(declare-fun POSTFIXINC_pre_18_62_26 () Int)
+(declare-fun loc_pre_63_30_13 () Int)
+(declare-fun loc_63_30_13 () Int)
+(declare-fun THROWSTMT_pre_19_34_7 () Int)
+(declare-fun FLOATLIT_pre_17_42_26 () Int)
+(declare-fun ASGADD_pre_18_44_26 () Int)
+(declare-fun length_pre_81_50_25 () Int)
+(declare-fun length_81_50_25 () Int)
+(declare-fun enclosingInstance_pre_119_37_14 () Int)
+(declare-fun enclosingInstance_119_37_14 () Int)
+(declare-fun loc_pre_116_29_13 () Int)
+(declare-fun loc_116_29_13 () Int)
+(declare-fun loc_pre_117_22_13 () Int)
+(declare-fun loc_117_22_13 () Int)
+(declare-fun EXPROBJECTDESIGNATOR_pre_19_61_7 () Int)
+(declare-fun otherCodes_pre_29_202_27 () Int)
+(declare-fun BOOLEANTYPE_pre_17_27_26 () Int)
+(declare-fun METHODDECL_pre_19_20_7 () Int)
+(declare-fun LE_pre_18_28_26 () Int)
+(declare-fun locOpenBrace_pre_21_13 () Int)
+(declare-fun locOpenBrace_21_13 () Int)
+(declare-fun locOpenBrace_pre_62_51_13 () Int)
+(declare-fun locOpenBrace_62_51_13 () Int)
+(declare-fun loc_pre_107_18_13 () Int)
+(declare-fun loc_107_18_13 () Int)
+(declare-fun dims_pre_123_45_31 () Int)
+(declare-fun dims_123_45_31 () Int)
+(declare-fun THISEXPR_pre_19_47_7 () Int)
+(declare-fun DEC_pre_18_59_26 () Int)
+(declare-fun locCloseBracket_pre_121_23_13 () Int)
+(declare-fun locCloseBracket_121_23_13 () Int)
+(declare-fun CHARLIT_pre_17_41_26 () Int)
+(declare-fun RETURNSTMT_pre_19_33_7 () Int)
+(declare-fun locOp_pre_26_32_13 () Int)
+(declare-fun locOp_26_32_13 () Int)
+(declare-fun punctuationCodes_pre_29_164_19 () Int)
+(declare-fun ASGREM_pre_18_43_26 () Int)
+(declare-fun CLASSLITERAL_pre_19_60_7 () Int)
+(declare-fun IDENT_pre_17_25_26 () Int)
+(declare-fun CONSTRUCTORDECL_pre_19_19_7 () Int)
+(declare-fun locDot_pre_122_29_13 () Int)
+(declare-fun locDot_122_29_13 () Int)
+(declare-fun GT_pre_18_27_26 () Int)
+(declare-fun locOpenBracket_pre_138_21_13 () Int)
+(declare-fun locOpenBracket_138_21_13 () Int)
+(declare-fun elements_pre_72_61_38 () Int)
+(declare-fun elements_72_61_38 () Int)
+(declare-fun ARRAYINIT_pre_19_46_7 () Int)
+(declare-fun INC_pre_18_58_26 () Int)
+(declare-fun owner_pre_8_35_28 () Int)
+(declare-fun owner_8_35_28 () Int)
+(declare-fun locIds_pre_141_25_29 () Int)
+(declare-fun locIds_141_25_29 () Int)
+(declare-fun loc_pre_103_20_13 () Int)
+(declare-fun loc_103_20_13 () Int)
+(declare-fun LONGLIT_pre_17_40_26 () Int)
+(declare-fun EVALSTMT_pre_19_32_7 () Int)
+(declare-fun ASGDIV_pre_18_42_26 () Int)
+(declare-fun locOpenParen_pre_122_52_13 () Int)
+(declare-fun locOpenParen_122_52_13 () Int)
+(declare-fun METHODINVOCATION_pre_19_59_7 () Int)
+(declare-fun tokenType_pre_78_90_8 () Int)
+(declare-fun tokenType_78_90_8 () Int)
+(declare-fun INTERFACEDECL_pre_19_18_7 () Int)
+(declare-fun GE_pre_18_26_26 () Int)
+(declare-fun locCloseParen_pre_128_21_13 () Int)
+(declare-fun locCloseParen_128_21_13 () Int)
+(declare-fun CATCHCLAUSE_pre_19_45_7 () Int)
+(declare-fun BITNOT_pre_18_57_26 () Int)
+(declare-fun keywordStrings_pre_29_181_30 () Int)
+(declare-fun locOpenParen_pre_131_30_13 () Int)
+(declare-fun locOpenParen_131_30_13 () Int)
+(declare-fun NULL_pre_13_60_26 () Int)
+(declare-fun SYNCHRONIZESTMT_pre_19_31_7 () Int)
+(declare-fun INTLIT_pre_17_39_26 () Int)
+(declare-fun ASGMUL_pre_18_41_26 () Int)
+(declare-fun loc_pre_115_16_13 () Int)
+(declare-fun loc_115_16_13 () Int)
+(declare-fun AMBIGUOUSMETHODINVOCATION_pre_19_58_7 () Int)
+(declare-fun CLASSDECL_pre_19_17_7 () Int)
+(declare-fun EQ_pre_18_25_26 () Int)
+(declare-fun locOpenParen_pre_119_48_13 () Int)
+(declare-fun locOpenParen_119_48_13 () Int)
+(declare-fun loc_pre_27_35_13 () Int)
+(declare-fun loc_27_35_13 () Int)
+(declare-fun TYPEMODIFIERPRAGMA_pre_29_28_26 () Int)
+(declare-fun locId_pre_62_48_13 () Int)
+(declare-fun locId_62_48_13 () Int)
+(declare-fun CONSTRUCTORINVOCATION_pre_19_44_7 () Int)
+(declare-fun count_pre_72_67_33 () Int)
+(declare-fun count_72_67_33 () Int)
+(declare-fun NOT_pre_18_56_26 () Int)
+(declare-fun returnType_pre_79_18_28 () Int)
+(declare-fun returnType_79_18_28 () Int)
+(declare-fun locDots_pre_141_31_29 () Int)
+(declare-fun locDots_141_31_29 () Int)
+(declare-fun BOOLEANLIT_pre_17_38_26 () Int)
+(declare-fun DOSTMT_pre_19_30_7 () Int)
+(declare-fun ASSIGN_pre_18_40_26 () Int)
+(declare-fun loc_pre_126_21_13 () Int)
+(declare-fun loc_126_21_13 () Int)
+(declare-fun op_pre_25_35_13 () Int)
+(declare-fun op_25_35_13 () Int)
+(declare-fun FIELDACCESS_pre_19_57_7 () Int)
+(declare-fun syntax_pre_22_28_29 () Int)
+(declare-fun syntax_22_28_29 () Int)
+(declare-fun ONDEMANDIMPORTDECL_pre_19_16_7 () Int)
+(declare-fun NE_pre_18_24_26 () Int)
+(declare-fun locColon_pre_125_25_13 () Int)
+(declare-fun locColon_125_25_13 () Int)
+(declare-fun locOpenBracket_pre_121_20_13 () Int)
+(declare-fun locOpenBracket_121_20_13 () Int)
+(declare-fun elems_pre () Int)
+(declare-fun elems () Int)
+(declare-fun LS () Int)
+(declare-fun alloc_pre () Int)
+(declare-fun elems_91_56 () Int)
+(declare-fun locOpenBrace_91_67 () Int)
+(declare-fun locCloseBrace_91_85 () Int)
+(declare-fun after_93_24_93_24 () Int)
+(declare-fun RES_93_24_93_24 () Int)
+(declare-fun EC_93_24_93_24 () Int)
+(declare-fun ecReturn () Int)
+(declare-fun elems_94_12 () Int)
+(declare-fun locOpenBrace_95_12 () Int)
+(declare-fun locCloseBrace_96_12 () Int)
+(assert (let ((?v_0 (array T_java_lang_String)) (?v_1 (array T_int)) (?v_2 (= true_term true_term)) (?v_5 (< alloc after_93_24_93_24)) (?v_3 (not (= RES_93_24_93_24 null))) (?v_6 (not (= true_term (isAllocated RES_93_24_93_24 alloc)))) (?v_7 (= true_term (is RES_93_24_93_24 T_javafe_ast_ArrayInit))) (?v_8 (= true_term (isAllocated RES_93_24_93_24 after_93_24_93_24))) (?v_9 (= EC_93_24_93_24 ecReturn)) (?v_10 (= (S_select owner_8_35_28 RES_93_24_93_24) null)) (?v_11 (= (typeof RES_93_24_93_24) T_javafe_ast_ArrayInit))) (let ((?v_4 (not ?v_3)) (?v_12 (= elems_94_12 (S_store elems_18_34 RES_93_24_93_24 elems_91_56))) (?v_13 (= locOpenBrace_95_12 (S_store locOpenBrace_21_13 RES_93_24_93_24 locOpenBrace_91_67))) (?v_14 (= ecReturn ecReturn))) (let ((?v_15 (=> ?v_14 ?v_3))) (not (=> true (=> (and (= locOpenBrace_pre_80_36_13 locOpenBrace_80_36_13) (= locOpenBrace_80_36_13 (asField locOpenBrace_80_36_13 T_int)) (= TRYCATCHSTMT_pre_19_43_7 TRYCATCHSTMT_19_43_7) (= true_term (is TRYCATCHSTMT_19_43_7 T_int)) (= UNARYSUB_pre_18_55_26 UNARYSUB_18_55_26) (= true_term (is UNARYSUB_18_55_26 T_int)) (= TYPEDECLELEMPRAGMA_pre_29_27_26 TYPEDECLELEMPRAGMA_29_27_26) (= true_term (is TYPEDECLELEMPRAGMA_29_27_26 T_int)) (= SHORTTYPE_pre_17_36_26 SHORTTYPE_17_36_26) (= true_term (is SHORTTYPE_17_36_26 T_int)) (= WHILESTMT_pre_19_29_7 WHILESTMT_19_29_7) (= true_term (is WHILESTMT_19_29_7 T_int)) (= body_pre_80_34_19 body_80_34_19) (= body_80_34_19 (asField body_80_34_19 T_javafe_ast_BlockStmt)) (< (fClosedTime body_80_34_19) alloc) (= STAR_pre_18_37_26 STAR_18_37_26) (= true_term (is STAR_18_37_26 T_int)) (= elems_pre_18_34 elems_18_34) (= elems_18_34 (asField elems_18_34 T_javafe_ast_VarInitVec)) (< (fClosedTime elems_18_34) alloc) (forall ((?s Int)) (=> (not (= ?s null)) (not (= (S_select elems_18_34 ?s) null)))) (= enclosingInstance_pre_122_25_14 enclosingInstance_122_25_14) (= enclosingInstance_122_25_14 (asField enclosingInstance_122_25_14 T_javafe_ast_Expr)) (< (fClosedTime enclosingInstance_122_25_14) alloc) (= loc_pre_110_18_13 loc_110_18_13) (= loc_110_18_13 (asField loc_110_18_13 T_int)) (= elements_pre_124_61_33 elements_124_61_33) (= elements_124_61_33 (asField elements_124_61_33 (array T_javafe_ast_Expr))) (< (fClosedTime elements_124_61_33) alloc) (forall ((?s_1_ Int)) (=> (not (= ?s_1_ null)) (not (= (S_select elements_124_61_33 ?s_1_) null)))) (= loc_pre_122_49_13 loc_122_49_13) (= loc_122_49_13 (asField loc_122_49_13 T_int)) (= VARIABLEACCESS_pre_19_56_7 VARIABLEACCESS_19_56_7) (= true_term (is VARIABLEACCESS_19_56_7 T_int)) (= SINGLETYPEIMPORTDECL_pre_19_15_7 SINGLETYPEIMPORTDECL_19_15_7) (= true_term (is SINGLETYPEIMPORTDECL_19_15_7 T_int)) (= BITAND_pre_18_23_26 BITAND_18_23_26) (= true_term (is BITAND_18_23_26 T_int)) (= locCloseBrace_pre_90_25_13 locCloseBrace_90_25_13) (= locCloseBrace_90_25_13 (asField locCloseBrace_90_25_13 T_int)) (= loc_pre_139_20_13 loc_139_20_13) (= loc_139_20_13 (asField loc_139_20_13 T_int)) (= TRYFINALLYSTMT_pre_19_42_7 TRYFINALLYSTMT_19_42_7) (= true_term (is TRYFINALLYSTMT_19_42_7 T_int)) (= UNARYADD_pre_18_54_26 UNARYADD_18_54_26) (= true_term (is UNARYADD_18_54_26 T_int)) (= locFirstSemi_pre_114_36_13 locFirstSemi_114_36_13) (= locFirstSemi_114_36_13 (asField locFirstSemi_114_36_13 T_int)) (= elemType_pre_138_18_28 elemType_138_18_28) (= elemType_138_18_28 (asField elemType_138_18_28 T_javafe_ast_Type)) (< (fClosedTime elemType_138_18_28) alloc) (forall ((?s_2_ Int)) (=> (not (= ?s_2_ null)) (not (= (S_select elemType_138_18_28 ?s_2_) null)))) (= BYTETYPE_pre_17_35_26 BYTETYPE_17_35_26) (= true_term (is BYTETYPE_17_35_26 T_int)) (= CLASSDECLSTMT_pre_19_28_7 CLASSDECLSTMT_19_28_7) (= true_term (is CLASSDECLSTMT_19_28_7 T_int)) (= MOD_pre_18_36_26 MOD_18_36_26) (= true_term (is MOD_18_36_26 T_int)) (= STMTPRAGMA_pre_29_26_26 STMTPRAGMA_29_26_26) (= true_term (is STMTPRAGMA_29_26_26 T_int)) (= op_pre_26_26_13 op_26_26_13) (= op_26_26_13 (asField op_26_26_13 T_int)) (= init_pre_123_35_19 init_123_35_19) (= init_123_35_19 (asField init_123_35_19 T_javafe_ast_ArrayInit)) (< (fClosedTime init_123_35_19) alloc) (= AMBIGUOUSVARIABLEACCESS_pre_19_55_7 AMBIGUOUSVARIABLEACCESS_19_55_7) (= true_term (is AMBIGUOUSVARIABLEACCESS_19_55_7 T_int)) (= COMPILATIONUNIT_pre_19_14_7 COMPILATIONUNIT_19_14_7) (= true_term (is COMPILATIONUNIT_19_14_7 T_int)) (= BITXOR_pre_18_22_26 BITXOR_18_22_26) (= true_term (is BITXOR_18_22_26 T_int)) (= locCloseParen_pre_127_24_13 locCloseParen_127_24_13) (= locCloseParen_127_24_13 (asField locCloseParen_127_24_13 T_int)) (= locKeyword_pre_119_45_13 locKeyword_119_45_13) (= locKeyword_119_45_13 (asField locKeyword_119_45_13 T_int)) (= SWITCHLABEL_pre_19_41_7 SWITCHLABEL_19_41_7) (= true_term (is SWITCHLABEL_19_41_7 T_int)) (= ASGBITXOR_pre_18_51_26 ASGBITXOR_18_51_26) (= true_term (is ASGBITXOR_18_51_26 T_int)) (= punctuationStrings_pre_29_134_22 punctuationStrings_29_134_22) (= true_term (is punctuationStrings_29_134_22 ?v_0)) (= true_term (isAllocated punctuationStrings_29_134_22 alloc)) (= locOpenParen_pre_105_23_13 locOpenParen_105_23_13) (= locOpenParen_105_23_13 (asField locOpenParen_105_23_13 T_int)) (= tag_pre_27_30_13 tag_27_30_13) (= tag_27_30_13 (asField tag_27_30_13 T_int)) (= loc_pre_62_45_13 loc_62_45_13) (= loc_62_45_13 (asField loc_62_45_13 T_int)) (= loc_pre_93_18_13 loc_93_18_13) (= loc_93_18_13 (asField loc_93_18_13 T_int)) (= elements_pre_14_61_36 elements_14_61_36) (= elements_14_61_36 (asField elements_14_61_36 (array T_javafe_ast_VarInit))) (< (fClosedTime elements_14_61_36) alloc) (forall ((?s_3_ Int)) (=> (not (= ?s_3_ null)) (not (= (S_select elements_14_61_36 ?s_3_) null)))) (= NULLTYPE_pre_17_34_26 NULLTYPE_17_34_26) (= true_term (is NULLTYPE_17_34_26 T_int)) (= VARDECLSTMT_pre_19_27_7 VARDECLSTMT_19_27_7) (= true_term (is VARDECLSTMT_19_27_7 T_int)) (= DIV_pre_18_35_26 DIV_18_35_26) (= true_term (is DIV_18_35_26 T_int)) (= locOpenParen_pre_128_18_13 locOpenParen_128_18_13) (= locOpenParen_128_18_13 (asField locOpenParen_128_18_13 T_int)) (= type_pre_126_18_28 type_126_18_28) (= type_126_18_28 (asField type_126_18_28 T_javafe_ast_Type)) (< (fClosedTime type_126_18_28) alloc) (forall ((?s_4_ Int)) (=> (not (= ?s_4_ null)) (not (= (S_select type_126_18_28 ?s_4_) null)))) (= count_pre_124_67_33 count_124_67_33) (= count_124_67_33 (asField count_124_67_33 T_int)) (= otherStrings_pre_29_193_30 otherStrings_29_193_30) (= true_term (is otherStrings_29_193_30 ?v_0)) (= true_term (isAllocated otherStrings_29_193_30 alloc)) (= loc_pre_23_50_13 loc_23_50_13) (= loc_23_50_13 (asField loc_23_50_13 T_int)) (= PARENEXPR_pre_19_54_7 PARENEXPR_19_54_7) (= true_term (is PARENEXPR_19_54_7 T_int)) (= loc_pre_83_29_13 loc_83_29_13) (= loc_83_29_13 (asField loc_83_29_13 T_int)) (= BITOR_pre_18_21_26 BITOR_18_21_26) (= true_term (is BITOR_18_21_26 T_int)) (= loc_pre_100_18_13 loc_100_18_13) (= loc_100_18_13 (asField loc_100_18_13 T_int)) (= MODIFIERPRAGMA_pre_29_25_26 MODIFIERPRAGMA_29_25_26) (= true_term (is MODIFIERPRAGMA_29_25_26 T_int)) (= noTokens_pre_29_212_27 noTokens_29_212_27) (= true_term (is noTokens_29_212_27 T_int)) (= tag_pre_23_32_13 tag_23_32_13) (= tag_23_32_13 (asField tag_23_32_13 T_int)) (= SKIPSTMT_pre_19_40_7 SKIPSTMT_19_40_7) (= true_term (is SKIPSTMT_19_40_7 T_int)) (= ASGBITOR_pre_18_50_26 ASGBITOR_18_50_26) (= true_term (is ASGBITOR_18_50_26 T_int)) (= loc_pre_118_23_13 loc_118_23_13) (= loc_118_23_13 (asField loc_118_23_13 T_int)) (= superCall_pre_119_24_17 superCall_119_24_17) (= superCall_119_24_17 (asField superCall_119_24_17 T_boolean)) (= locQuestion_pre_125_22_13 locQuestion_125_22_13) (= locQuestion_125_22_13 (asField locQuestion_125_22_13 T_int)) (= COMPOUNDNAME_pre_19_67_7 COMPOUNDNAME_19_67_7) (= true_term (is COMPOUNDNAME_19_67_7 T_int)) (= VOIDTYPE_pre_17_33_26 VOIDTYPE_17_33_26) (= true_term (is VOIDTYPE_17_33_26 T_int)) (= SWITCHSTMT_pre_19_26_7 SWITCHSTMT_19_26_7) (= true_term (is SWITCHSTMT_19_26_7 T_int)) (= SUB_pre_18_34_26 SUB_18_34_26) (= true_term (is SUB_18_34_26 T_int)) (= locDot_pre_84_21_13 locDot_84_21_13) (= locDot_84_21_13 (asField locDot_84_21_13 T_int)) (= CASTEXPR_pre_19_53_7 CASTEXPR_19_53_7) (= true_term (is CASTEXPR_19_53_7 T_int)) (= locSuper_pre_137_20_13 locSuper_137_20_13) (= locSuper_137_20_13 (asField locSuper_137_20_13 T_int)) (= TYPESIG_pre_28_6_28 TYPESIG_28_6_28) (= true_term (is TYPESIG_28_6_28 T_int)) (= FORSTMT_pre_19_39_7 FORSTMT_19_39_7) (= true_term (is FORSTMT_19_39_7 T_int)) (= count_pre_14_67_33 count_14_67_33) (= count_14_67_33 (asField count_14_67_33 T_int)) (= locOpenBrace_pre_90_22_13 locOpenBrace_90_22_13) (= locOpenBrace_90_22_13 (asField locOpenBrace_90_22_13 T_int)) (= LEXICALPRAGMA_pre_29_24_26 LEXICALPRAGMA_29_24_26) (= true_term (is LEXICALPRAGMA_29_24_26 T_int)) (= ASGBITAND_pre_18_49_26 ASGBITAND_18_49_26) (= true_term (is ASGBITAND_18_49_26 T_int)) (= locDot_pre_133_23_13 locDot_133_23_13) (= locDot_133_23_13 (asField locDot_133_23_13 T_int)) (= SIMPLENAME_pre_19_66_7 SIMPLENAME_19_66_7) (= true_term (is SIMPLENAME_19_66_7 T_int)) (= DOUBLETYPE_pre_17_32_26 DOUBLETYPE_17_32_26) (= true_term (is DOUBLETYPE_17_32_26 T_int)) (= BLOCKSTMT_pre_19_25_7 BLOCKSTMT_19_25_7) (= true_term (is BLOCKSTMT_19_25_7 T_int)) (= locId_pre_112_20_13 locId_112_20_13) (= locId_112_20_13 (asField locId_112_20_13 T_int)) (= ADD_pre_18_33_26 ADD_18_33_26) (= true_term (is ADD_18_33_26 T_int)) (= locId_pre_80_43_13 locId_80_43_13) (= locId_80_43_13 (asField locId_80_43_13 T_int)) (= FIRST_KEYWORD_pre_29_51_26 FIRST_KEYWORD_29_51_26) (= true_term (is FIRST_KEYWORD_29_51_26 T_int)) (= INSTANCEOFEXPR_pre_19_52_7 INSTANCEOFEXPR_19_52_7) (= true_term (is INSTANCEOFEXPR_19_52_7 T_int)) (= loc_pre_113_22_13 loc_113_22_13) (= loc_113_22_13 (asField loc_113_22_13 T_int)) (= AND_pre_18_20_26 AND_18_20_26) (= true_term (is AND_18_20_26 T_int)) (= locId_pre_77_38_13 locId_77_38_13) (= locId_77_38_13 (asField locId_77_38_13 T_int)) (= IFSTMT_pre_19_38_7 IFSTMT_19_38_7) (= true_term (is IFSTMT_19_38_7 T_int)) (= LAST_KEYWORD_pre_29_103_26 LAST_KEYWORD_29_103_26) (= true_term (is LAST_KEYWORD_29_103_26 T_int)) (= ASGURSHIFT_pre_18_48_26 ASGURSHIFT_18_48_26) (= true_term (is ASGURSHIFT_18_48_26 T_int)) (= locOpenParen_pre_127_21_13 locOpenParen_127_21_13) (= locOpenParen_127_21_13 (asField locOpenParen_127_21_13 T_int)) (= locDot_pre_119_41_13 locDot_119_41_13) (= locDot_119_41_13 (asField locDot_119_41_13 T_int)) (= loc_pre_114_33_13 loc_114_33_13) (= loc_114_33_13 (asField loc_114_33_13 T_int)) (= ARRAYTYPE_pre_19_65_7 ARRAYTYPE_19_65_7) (= true_term (is ARRAYTYPE_19_65_7 T_int)) (= locFinally_pre_117_25_13 locFinally_117_25_13) (= locFinally_117_25_13 (asField locFinally_117_25_13 T_int)) (= FORMALPARADECL_pre_19_24_7 FORMALPARADECL_19_24_7) (= true_term (is FORMALPARADECL_19_24_7 T_int)) (= FLOATTYPE_pre_17_31_26 FLOATTYPE_17_31_26) (= true_term (is FLOATTYPE_17_31_26 T_int)) (= URSHIFT_pre_18_32_26 URSHIFT_18_32_26) (= true_term (is URSHIFT_18_32_26 T_int)) (= locOpenParen_pre_132_28_13 locOpenParen_132_28_13) (= locOpenParen_132_28_13 (asField locOpenParen_132_28_13 T_int)) (= locOp_pre_25_43_13 locOp_25_43_13) (= locOp_25_43_13 (asField locOp_25_43_13 T_int)) (= value_pre_23_45_16 value_23_45_16) (= value_23_45_16 (asField value_23_45_16 T_java_lang_Object)) (< (fClosedTime value_23_45_16) alloc) (= locId_pre_130_24_13 locId_130_24_13) (= locId_130_24_13 (asField locId_130_24_13 T_int)) (= CONDEXPR_pre_19_51_7 CONDEXPR_19_51_7) (= true_term (is CONDEXPR_19_51_7 T_int)) (= elements_pre_140_61_39 elements_140_61_39) (= elements_140_61_39 (asField elements_140_61_39 (array T_javafe_ast_Identifier))) (< (fClosedTime elements_140_61_39) alloc) (forall ((?s_5_ Int)) (=> (not (= ?s_5_ null)) (not (= (S_select elements_140_61_39 ?s_5_) null)))) (= locCloseBrace_pre_24_13 locCloseBrace_24_13) (= locCloseBrace_24_13 (asField locCloseBrace_24_13 T_int)) (= OR_pre_18_19_26 OR_18_19_26) (= true_term (is OR_18_19_26 T_int)) (= LABELSTMT_pre_19_37_7 LABELSTMT_19_37_7) (= true_term (is LABELSTMT_19_37_7 T_int)) (= NULLLIT_pre_17_45_26 NULLLIT_17_45_26) (= true_term (is NULLLIT_17_45_26 T_int)) (= ASGRSHIFT_pre_18_47_26 ASGRSHIFT_18_47_26) (= true_term (is ASGRSHIFT_18_47_26 T_int)) (= locGuardOpenParen_pre_103_23_13 locGuardOpenParen_103_23_13) (= locGuardOpenParen_103_23_13 (asField locGuardOpenParen_103_23_13 T_int)) (= elements_pre_73_61_39 elements_73_61_39) (= elements_73_61_39 (asField elements_73_61_39 (array T_javafe_ast_MethodDecl))) (< (fClosedTime elements_73_61_39) alloc) (forall ((?s_6_ Int)) (=> (not (= ?s_6_ null)) (not (= (S_select elements_73_61_39 ?s_6_) null)))) (= loc_pre_108_18_13 loc_108_18_13) (= loc_108_18_13 (asField loc_108_18_13 T_int)) (= NULL_pre_29_82_26 NULL_29_82_26) (= true_term (is NULL_29_82_26 T_int)) (= TYPENAME_pre_19_64_7 TYPENAME_19_64_7) (= true_term (is TYPENAME_19_64_7 T_int)) (= CHARTYPE_pre_17_30_26 CHARTYPE_17_30_26) (= true_term (is CHARTYPE_17_30_26 T_int)) (= FIELDDECL_pre_19_23_7 FIELDDECL_19_23_7) (= true_term (is FIELDDECL_19_23_7 T_int)) (= RSHIFT_pre_18_31_26 RSHIFT_18_31_26) (= true_term (is RSHIFT_18_31_26 T_int)) (= locCloseBrace_pre_62_54_13 locCloseBrace_62_54_13) (= locCloseBrace_62_54_13 (asField locCloseBrace_62_54_13 T_int)) (= loc_pre_105_20_13 loc_105_20_13) (= loc_105_20_13 (asField loc_105_20_13 T_int)) (= loc_pre_123_49_13 loc_123_49_13) (= loc_123_49_13 (asField loc_123_49_13 T_int)) (= loc_pre_104_20_13 loc_104_20_13) (= loc_104_20_13 (asField loc_104_20_13 T_int)) (= NEWARRAYEXPR_pre_19_50_7 NEWARRAYEXPR_19_50_7) (= true_term (is NEWARRAYEXPR_19_50_7 T_int)) (= locType_pre_79_21_13 locType_79_21_13) (= locType_79_21_13 (asField locType_79_21_13 T_int)) (= type_pre_123_24_28 type_123_24_28) (= type_123_24_28 (asField type_123_24_28 T_javafe_ast_Type)) (< (fClosedTime type_123_24_28) alloc) (forall ((?s_7_ Int)) (=> (not (= ?s_7_ null)) (not (= (S_select type_123_24_28 ?s_7_) null)))) (= I_will_establish_invariants_afterwards_pre_5_236_34 I_will_establish_invariants_afterwards_5_236_34) (= true_term (is I_will_establish_invariants_afterwards_5_236_34 T_boolean)) (= CONTINUESTMT_pre_19_36_7 CONTINUESTMT_19_36_7) (= true_term (is CONTINUESTMT_19_36_7 T_int)) (= STRINGLIT_pre_17_44_26 STRINGLIT_17_44_26) (= true_term (is STRINGLIT_17_44_26 T_int)) (= ASGLSHIFT_pre_18_46_26 ASGLSHIFT_18_46_26) (= true_term (is ASGLSHIFT_18_46_26 T_int)) (= SUPEROBJECTDESIGNATOR_pre_19_63_7 SUPEROBJECTDESIGNATOR_19_63_7) (= true_term (is SUPEROBJECTDESIGNATOR_19_63_7 T_int)) (= LONGTYPE_pre_17_29_26 LONGTYPE_17_29_26) (= true_term (is LONGTYPE_17_29_26 T_int)) (= LOCALVARDECL_pre_19_22_7 LOCALVARDECL_19_22_7) (= true_term (is LOCALVARDECL_19_22_7 T_int)) (= LSHIFT_pre_18_30_26 LSHIFT_18_30_26) (= true_term (is LSHIFT_18_30_26 T_int)) (= loc_pre_80_40_13 loc_80_40_13) (= loc_80_40_13 (asField loc_80_40_13 T_int)) (= loc_pre_120_22_13 loc_120_22_13) (= loc_120_22_13 (asField loc_120_22_13 T_int)) (= NEWINSTANCEEXPR_pre_19_49_7 NEWINSTANCEEXPR_19_49_7) (= true_term (is NEWINSTANCEEXPR_19_49_7 T_int)) (= loc_pre_129_22_13 loc_129_22_13) (= loc_129_22_13 (asField loc_129_22_13 T_int)) (= locOpenBrackets_pre_123_65_29 locOpenBrackets_123_65_29) (= locOpenBrackets_123_65_29 (asField locOpenBrackets_123_65_29 ?v_1)) (< (fClosedTime locOpenBrackets_123_65_29) alloc) (forall ((?s_8_ Int)) (=> (not (= ?s_8_ null)) (not (= (S_select locOpenBrackets_123_65_29 ?s_8_) null)))) (= POSTFIXDEC_pre_18_63_26 POSTFIXDEC_18_63_26) (= true_term (is POSTFIXDEC_18_63_26 T_int)) (= BREAKSTMT_pre_19_35_7 BREAKSTMT_19_35_7) (= true_term (is BREAKSTMT_19_35_7 T_int)) (= type_pre_77_35_28 type_77_35_28) (= type_77_35_28 (asField type_77_35_28 T_javafe_ast_Type)) (< (fClosedTime type_77_35_28) alloc) (forall ((?s_9_ Int)) (=> (not (= ?s_9_ null)) (not (= (S_select type_77_35_28 ?s_9_) null)))) (= count_pre_140_67_33 count_140_67_33) (= count_140_67_33 (asField count_140_67_33 T_int)) (= DOUBLELIT_pre_17_43_26 DOUBLELIT_17_43_26) (= true_term (is DOUBLELIT_17_43_26 T_int)) (= ASGSUB_pre_18_45_26 ASGSUB_18_45_26) (= true_term (is ASGSUB_18_45_26 T_int)) (= ids_pre_141_19_37 ids_141_19_37) (= ids_141_19_37 (asField ids_141_19_37 T_javafe_ast_IdentifierVec)) (< (fClosedTime ids_141_19_37) alloc) (forall ((?s_10_ Int)) (=> (not (= ?s_10_ null)) (not (= (S_select ids_141_19_37 ?s_10_) null)))) (= type_pre_127_18_28 type_127_18_28) (= type_127_18_28 (asField type_127_18_28 T_javafe_ast_Type)) (< (fClosedTime type_127_18_28) alloc) (forall ((?s_11_ Int)) (=> (not (= ?s_11_ null)) (not (= (S_select type_127_18_28 ?s_11_) null)))) (= TYPEOBJECTDESIGNATOR_pre_19_62_7 TYPEOBJECTDESIGNATOR_19_62_7) (= true_term (is TYPEOBJECTDESIGNATOR_19_62_7 T_int)) (= count_pre_73_67_33 count_73_67_33) (= count_73_67_33 (asField count_73_67_33 T_int)) (= INTTYPE_pre_17_28_26 INTTYPE_17_28_26) (= true_term (is INTTYPE_17_28_26 T_int)) (= INITBLOCK_pre_19_21_7 INITBLOCK_19_21_7) (= true_term (is INITBLOCK_19_21_7 T_int)) (= LT_pre_18_29_26 LT_18_29_26) (= true_term (is LT_18_29_26 T_int)) (= locId_pre_132_25_13 locId_132_25_13) (= locId_132_25_13 (asField locId_132_25_13 T_int)) (= type_pre_133_20_28 type_133_20_28) (= type_133_20_28 (asField type_133_20_28 T_javafe_ast_Type)) (< (fClosedTime type_133_20_28) alloc) (forall ((?s_12_ Int)) (=> (not (= ?s_12_ null)) (not (= (S_select type_133_20_28 ?s_12_) null)))) (= ARRAYREFEXPR_pre_19_48_7 ARRAYREFEXPR_19_48_7) (= true_term (is ARRAYREFEXPR_19_48_7 T_int)) (= POSTFIXINC_pre_18_62_26 POSTFIXINC_18_62_26) (= true_term (is POSTFIXINC_18_62_26 T_int)) (= loc_pre_63_30_13 loc_63_30_13) (= loc_63_30_13 (asField loc_63_30_13 T_int)) (= THROWSTMT_pre_19_34_7 THROWSTMT_19_34_7) (= true_term (is THROWSTMT_19_34_7 T_int)) (= FLOATLIT_pre_17_42_26 FLOATLIT_17_42_26) (= true_term (is FLOATLIT_17_42_26 T_int)) (= ASGADD_pre_18_44_26 ASGADD_18_44_26) (= true_term (is ASGADD_18_44_26 T_int)) (= length_pre_81_50_25 length_81_50_25) (= length_81_50_25 (asField length_81_50_25 T_int)) (= enclosingInstance_pre_119_37_14 enclosingInstance_119_37_14) (= enclosingInstance_119_37_14 (asField enclosingInstance_119_37_14 T_javafe_ast_Expr)) (< (fClosedTime enclosingInstance_119_37_14) alloc) (= loc_pre_116_29_13 loc_116_29_13) (= loc_116_29_13 (asField loc_116_29_13 T_int)) (= loc_pre_117_22_13 loc_117_22_13) (= loc_117_22_13 (asField loc_117_22_13 T_int)) (= EXPROBJECTDESIGNATOR_pre_19_61_7 EXPROBJECTDESIGNATOR_19_61_7) (= true_term (is EXPROBJECTDESIGNATOR_19_61_7 T_int)) (= otherCodes_pre_29_202_27 otherCodes_29_202_27) (= true_term (is otherCodes_29_202_27 ?v_1)) (= true_term (isAllocated otherCodes_29_202_27 alloc)) (= BOOLEANTYPE_pre_17_27_26 BOOLEANTYPE_17_27_26) (= true_term (is BOOLEANTYPE_17_27_26 T_int)) (= METHODDECL_pre_19_20_7 METHODDECL_19_20_7) (= true_term (is METHODDECL_19_20_7 T_int)) (= LE_pre_18_28_26 LE_18_28_26) (= true_term (is LE_18_28_26 T_int)) (= locOpenBrace_pre_21_13 locOpenBrace_21_13) (= locOpenBrace_21_13 (asField locOpenBrace_21_13 T_int)) (= locOpenBrace_pre_62_51_13 locOpenBrace_62_51_13) (= locOpenBrace_62_51_13 (asField locOpenBrace_62_51_13 T_int)) (= loc_pre_107_18_13 loc_107_18_13) (= loc_107_18_13 (asField loc_107_18_13 T_int)) (= dims_pre_123_45_31 dims_123_45_31) (= dims_123_45_31 (asField dims_123_45_31 T_javafe_ast_ExprVec)) (< (fClosedTime dims_123_45_31) alloc) (forall ((?s_13_ Int)) (=> (not (= ?s_13_ null)) (not (= (S_select dims_123_45_31 ?s_13_) null)))) (= THISEXPR_pre_19_47_7 THISEXPR_19_47_7) (= true_term (is THISEXPR_19_47_7 T_int)) (= DEC_pre_18_59_26 DEC_18_59_26) (= true_term (is DEC_18_59_26 T_int)) (= locCloseBracket_pre_121_23_13 locCloseBracket_121_23_13) (= locCloseBracket_121_23_13 (asField locCloseBracket_121_23_13 T_int)) (= CHARLIT_pre_17_41_26 CHARLIT_17_41_26) (= true_term (is CHARLIT_17_41_26 T_int)) (= RETURNSTMT_pre_19_33_7 RETURNSTMT_19_33_7) (= true_term (is RETURNSTMT_19_33_7 T_int)) (= locOp_pre_26_32_13 locOp_26_32_13) (= locOp_26_32_13 (asField locOp_26_32_13 T_int)) (= punctuationCodes_pre_29_164_19 punctuationCodes_29_164_19) (= true_term (is punctuationCodes_29_164_19 ?v_1)) (= true_term (isAllocated punctuationCodes_29_164_19 alloc)) (= ASGREM_pre_18_43_26 ASGREM_18_43_26) (= true_term (is ASGREM_18_43_26 T_int)) (= CLASSLITERAL_pre_19_60_7 CLASSLITERAL_19_60_7) (= true_term (is CLASSLITERAL_19_60_7 T_int)) (= IDENT_pre_17_25_26 IDENT_17_25_26) (= true_term (is IDENT_17_25_26 T_int)) (= CONSTRUCTORDECL_pre_19_19_7 CONSTRUCTORDECL_19_19_7) (= true_term (is CONSTRUCTORDECL_19_19_7 T_int)) (= locDot_pre_122_29_13 locDot_122_29_13) (= locDot_122_29_13 (asField locDot_122_29_13 T_int)) (= GT_pre_18_27_26 GT_18_27_26) (= true_term (is GT_18_27_26 T_int)) (= locOpenBracket_pre_138_21_13 locOpenBracket_138_21_13) (= locOpenBracket_138_21_13 (asField locOpenBracket_138_21_13 T_int)) (= elements_pre_72_61_38 elements_72_61_38) (= elements_72_61_38 (asField elements_72_61_38 (array T_javafe_ast_FieldDecl))) (< (fClosedTime elements_72_61_38) alloc) (forall ((?s_14_ Int)) (=> (not (= ?s_14_ null)) (not (= (S_select elements_72_61_38 ?s_14_) null)))) (= ARRAYINIT_pre_19_46_7 ARRAYINIT_19_46_7) (= true_term (is ARRAYINIT_19_46_7 T_int)) (= INC_pre_18_58_26 INC_18_58_26) (= true_term (is INC_18_58_26 T_int)) (= owner_pre_8_35_28 owner_8_35_28) (= owner_8_35_28 (asField owner_8_35_28 T_java_lang_Object)) (< (fClosedTime owner_8_35_28) alloc) (= locIds_pre_141_25_29 locIds_141_25_29) (= locIds_141_25_29 (asField locIds_141_25_29 ?v_1)) (< (fClosedTime locIds_141_25_29) alloc) (forall ((?s_15_ Int)) (=> (not (= ?s_15_ null)) (not (= (S_select locIds_141_25_29 ?s_15_) null)))) (= loc_pre_103_20_13 loc_103_20_13) (= loc_103_20_13 (asField loc_103_20_13 T_int)) (= LONGLIT_pre_17_40_26 LONGLIT_17_40_26) (= true_term (is LONGLIT_17_40_26 T_int)) (= EVALSTMT_pre_19_32_7 EVALSTMT_19_32_7) (= true_term (is EVALSTMT_19_32_7 T_int)) (= ASGDIV_pre_18_42_26 ASGDIV_18_42_26) (= true_term (is ASGDIV_18_42_26 T_int)) (= locOpenParen_pre_122_52_13 locOpenParen_122_52_13) (= locOpenParen_122_52_13 (asField locOpenParen_122_52_13 T_int)) (= METHODINVOCATION_pre_19_59_7 METHODINVOCATION_19_59_7) (= true_term (is METHODINVOCATION_19_59_7 T_int)) (= tokenType_pre_78_90_8 tokenType_78_90_8) (= tokenType_78_90_8 (asField tokenType_78_90_8 T_int)) (= INTERFACEDECL_pre_19_18_7 INTERFACEDECL_19_18_7) (= true_term (is INTERFACEDECL_19_18_7 T_int)) (= GE_pre_18_26_26 GE_18_26_26) (= true_term (is GE_18_26_26 T_int)) (= locCloseParen_pre_128_21_13 locCloseParen_128_21_13) (= locCloseParen_128_21_13 (asField locCloseParen_128_21_13 T_int)) (= CATCHCLAUSE_pre_19_45_7 CATCHCLAUSE_19_45_7) (= true_term (is CATCHCLAUSE_19_45_7 T_int)) (= BITNOT_pre_18_57_26 BITNOT_18_57_26) (= true_term (is BITNOT_18_57_26 T_int)) (= keywordStrings_pre_29_181_30 keywordStrings_29_181_30) (= true_term (is keywordStrings_29_181_30 ?v_0)) (= true_term (isAllocated keywordStrings_29_181_30 alloc)) (= locOpenParen_pre_131_30_13 locOpenParen_131_30_13) (= locOpenParen_131_30_13 (asField locOpenParen_131_30_13 T_int)) (= NULL_pre_13_60_26 NULL_13_60_26) (= true_term (is NULL_13_60_26 T_int)) (= SYNCHRONIZESTMT_pre_19_31_7 SYNCHRONIZESTMT_19_31_7) (= true_term (is SYNCHRONIZESTMT_19_31_7 T_int)) (= INTLIT_pre_17_39_26 INTLIT_17_39_26) (= true_term (is INTLIT_17_39_26 T_int)) (= ASGMUL_pre_18_41_26 ASGMUL_18_41_26) (= true_term (is ASGMUL_18_41_26 T_int)) (= loc_pre_115_16_13 loc_115_16_13) (= loc_115_16_13 (asField loc_115_16_13 T_int)) (= AMBIGUOUSMETHODINVOCATION_pre_19_58_7 AMBIGUOUSMETHODINVOCATION_19_58_7) (= true_term (is AMBIGUOUSMETHODINVOCATION_19_58_7 T_int)) (= CLASSDECL_pre_19_17_7 CLASSDECL_19_17_7) (= true_term (is CLASSDECL_19_17_7 T_int)) (= EQ_pre_18_25_26 EQ_18_25_26) (= true_term (is EQ_18_25_26 T_int)) (= locOpenParen_pre_119_48_13 locOpenParen_119_48_13) (= locOpenParen_119_48_13 (asField locOpenParen_119_48_13 T_int)) (= loc_pre_27_35_13 loc_27_35_13) (= loc_27_35_13 (asField loc_27_35_13 T_int)) (= TYPEMODIFIERPRAGMA_pre_29_28_26 TYPEMODIFIERPRAGMA_29_28_26) (= true_term (is TYPEMODIFIERPRAGMA_29_28_26 T_int)) (= locId_pre_62_48_13 locId_62_48_13) (= locId_62_48_13 (asField locId_62_48_13 T_int)) (= CONSTRUCTORINVOCATION_pre_19_44_7 CONSTRUCTORINVOCATION_19_44_7) (= true_term (is CONSTRUCTORINVOCATION_19_44_7 T_int)) (= count_pre_72_67_33 count_72_67_33) (= count_72_67_33 (asField count_72_67_33 T_int)) (= NOT_pre_18_56_26 NOT_18_56_26) (= true_term (is NOT_18_56_26 T_int)) (= returnType_pre_79_18_28 returnType_79_18_28) (= returnType_79_18_28 (asField returnType_79_18_28 T_javafe_ast_Type)) (< (fClosedTime returnType_79_18_28) alloc) (forall ((?s_16_ Int)) (=> (not (= ?s_16_ null)) (not (= (S_select returnType_79_18_28 ?s_16_) null)))) (= locDots_pre_141_31_29 locDots_141_31_29) (= locDots_141_31_29 (asField locDots_141_31_29 ?v_1)) (< (fClosedTime locDots_141_31_29) alloc) (forall ((?s_17_ Int)) (=> (not (= ?s_17_ null)) (not (= (S_select locDots_141_31_29 ?s_17_) null)))) (= BOOLEANLIT_pre_17_38_26 BOOLEANLIT_17_38_26) (= true_term (is BOOLEANLIT_17_38_26 T_int)) (= DOSTMT_pre_19_30_7 DOSTMT_19_30_7) (= true_term (is DOSTMT_19_30_7 T_int)) (= ASSIGN_pre_18_40_26 ASSIGN_18_40_26) (= true_term (is ASSIGN_18_40_26 T_int)) (= loc_pre_126_21_13 loc_126_21_13) (= loc_126_21_13 (asField loc_126_21_13 T_int)) (= op_pre_25_35_13 op_25_35_13) (= op_25_35_13 (asField op_25_35_13 T_int)) (= FIELDACCESS_pre_19_57_7 FIELDACCESS_19_57_7) (= true_term (is FIELDACCESS_19_57_7 T_int)) (= syntax_pre_22_28_29 syntax_22_28_29) (= syntax_22_28_29 (asField syntax_22_28_29 T_boolean)) (= ONDEMANDIMPORTDECL_pre_19_16_7 ONDEMANDIMPORTDECL_19_16_7) (= true_term (is ONDEMANDIMPORTDECL_19_16_7 T_int)) (= NE_pre_18_24_26 NE_18_24_26) (= true_term (is NE_18_24_26 T_int)) (= locColon_pre_125_25_13 locColon_125_25_13) (= locColon_125_25_13 (asField locColon_125_25_13 T_int)) (= locOpenBracket_pre_121_20_13 locOpenBracket_121_20_13) (= locOpenBracket_121_20_13 (asField locOpenBracket_121_20_13 T_int)) (= elems_pre elems) (= elems (asElems elems)) (< (eClosedTime elems) alloc) (= LS (asLockSet LS)) (= alloc_pre alloc)) (not (and (= true_term (is elems_91_56 T_javafe_ast_VarInitVec)) (= true_term (isAllocated elems_91_56 alloc)) (not (= elems_91_56 null)) (= true_term (is locOpenBrace_91_67 T_int)) (= true_term (is locCloseBrace_91_85 T_int)) (not (= locOpenBrace_91_67 NULL_13_60_26)) (not (= locCloseBrace_91_85 NULL_13_60_26)) (forall ((?brokenObj Int)) (=> (and (= true_term (is ?brokenObj T_javafe_ast_ArrayInit)) (not (= ?brokenObj null))) (not (= (S_select locOpenBrace_21_13 ?brokenObj) NULL_13_60_26)))) (forall ((?brokenObj_1_ Int)) (=> (and (= true_term (is ?brokenObj_1_ T_javafe_ast_ArrayInit)) (not (= ?brokenObj_1_ null))) (not (= (S_select locCloseBrace_24_13 ?brokenObj_1_) NULL_13_60_26)))) (or (not ?v_2) (and ?v_2 ?v_5 ?v_3 ?v_6 ?v_7 ?v_8 ?v_9 ?v_10 ?v_11 (or ?v_4 (and ?v_3 ?v_12 (or ?v_4 (and ?v_3 ?v_13 ?v_4))))) (and ?v_2 ?v_5 ?v_3 ?v_6 ?v_7 ?v_8 ?v_9 ?v_10 ?v_11 ?v_3 ?v_12 ?v_3 ?v_13 ?v_3 (= locCloseBrace_96_12 (S_store locCloseBrace_24_13 RES_93_24_93_24 locCloseBrace_91_85)) ?v_2 (or (not ?v_14) (and ?v_14 (or (not ?v_15) (and ?v_15 (or (not (forall ((?brokenObj Int)) (=> (and (= true_term (is ?brokenObj T_javafe_ast_ArrayInit)) (= true_term (isAllocated ?brokenObj after_93_24_93_24)) (not (= ?brokenObj null))) (not (= (S_select locOpenBrace_95_12 ?brokenObj) NULL_13_60_26))))) (not (forall ((?brokenObj_1_ Int)) (=> (and (= true_term (is ?brokenObj_1_ T_javafe_ast_ArrayInit)) (= true_term (isAllocated ?brokenObj_1_ after_93_24_93_24)) (not (= ?brokenObj_1_ null))) (not (= (S_select locCloseBrace_96_12 ?brokenObj_1_) NULL_13_60_26)))))))))))))))))))))
+(check-sat)
+(exit)
diff --git a/test/regress/regress2/quantifiers/javafe.ast.StandardPrettyPrint.319.smt2 b/test/regress/regress2/quantifiers/javafe.ast.StandardPrettyPrint.319.smt2
new file mode 100644
index 000000000..fd672bd3b
--- /dev/null
+++ b/test/regress/regress2/quantifiers/javafe.ast.StandardPrettyPrint.319.smt2
@@ -0,0 +1,1014 @@
+(set-logic AUFLIA)
+(set-info :source | Simplify Theorem Prover Benchmark Suite |)
+(set-info :smt-lib-version 2.0)
+(set-info :category "industrial")
+(set-info :status unsat)
+(declare-fun true_term () Int)
+(declare-fun false_term () Int)
+(assert (= true_term 1))
+(assert (= false_term 0))
+(declare-fun S_select (Int Int) Int)
+(declare-fun S_store (Int Int Int) Int)
+(assert (forall ((?m Int) (?i Int) (?x Int)) (= (S_select (S_store ?m ?i ?x) ?i) ?x)))
+(assert (forall ((?m Int) (?i Int) (?j Int) (?x Int)) (=> (not (= ?i ?j)) (= (S_select (S_store ?m ?i ?x) ?j) (S_select ?m ?j)))))
+(declare-fun PO_LT (Int Int) Int)
+(assert (forall ((?t Int)) (= (PO_LT ?t ?t) true_term)))
+(declare-fun T_java_lang_Object () Int)
+(assert (= (PO_LT T_java_lang_Object T_java_lang_Object) true_term))
+(assert (forall ((?t0 Int) (?t1 Int) (?t2 Int)) (=> (and (= (PO_LT ?t0 ?t1) true_term) (= (PO_LT ?t1 ?t2) true_term)) (= (PO_LT ?t0 ?t2) true_term))))
+(assert (forall ((?t0 Int) (?t1 Int)) (=> (and (= (PO_LT ?t0 ?t1) true_term) (= (PO_LT ?t1 ?t0) true_term)) (= ?t0 ?t1))))
+(declare-fun T_boolean () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_boolean) true_term) (= ?t T_boolean))))
+(declare-fun T_char () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_char) true_term) (= ?t T_char))))
+(declare-fun T_byte () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_byte) true_term) (= ?t T_byte))))
+(declare-fun T_short () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_short) true_term) (= ?t T_short))))
+(declare-fun T_int () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_int) true_term) (= ?t T_int))))
+(declare-fun T_long () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_long) true_term) (= ?t T_long))))
+(declare-fun T_float () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_float) true_term) (= ?t T_float))))
+(declare-fun T_double () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_double) true_term) (= ?t T_double))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_boolean ?t) true_term) (= ?t T_boolean))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_char ?t) true_term) (= ?t T_char))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_byte ?t) true_term) (= ?t T_byte))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_short ?t) true_term) (= ?t T_short))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_int ?t) true_term) (= ?t T_int))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_long ?t) true_term) (= ?t T_long))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_float ?t) true_term) (= ?t T_float))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_double ?t) true_term) (= ?t T_double))))
+(declare-fun asChild (Int Int) Int)
+(declare-fun classDown (Int Int) Int)
+(assert (forall ((?t0 Int) (?t1 Int) (?t2 Int)) (let ((?v_0 (asChild ?t1 ?t2))) (=> (= (PO_LT ?t0 ?v_0) true_term) (= (classDown ?t2 ?t0) ?v_0)))))
+(declare-fun T_java_lang_Cloneable () Int)
+(assert (= (PO_LT T_java_lang_Cloneable T_java_lang_Object) true_term))
+(declare-fun array (Int) Int)
+(assert (forall ((?t Int)) (= (PO_LT (array ?t) T_java_lang_Cloneable) true_term)))
+(declare-fun elemtype (Int) Int)
+(assert (forall ((?t Int)) (= (elemtype (array ?t)) ?t)))
+(assert (forall ((?t0 Int) (?t1 Int)) (let ((?v_0 (elemtype ?t0))) (= (= (PO_LT ?t0 (array ?t1)) true_term) (and (= ?t0 (array ?v_0)) (= (PO_LT ?v_0 ?t1) true_term))))))
+(declare-fun is (Int Int) Int)
+(declare-fun cast (Int Int) Int)
+(assert (forall ((?x Int) (?t Int)) (= (is (cast ?x ?t) ?t) true_term)))
+(assert (forall ((?x Int) (?t Int)) (=> (= (is ?x ?t) true_term) (= (cast ?x ?t) ?x))))
+(assert true)
+(assert (forall ((?x Int)) (= (= (is ?x T_char) true_term) (and (<= 0 ?x) (<= ?x 65535)))))
+(assert (forall ((?x Int)) (= (= (is ?x T_byte) true_term) (and (<= (- 128) ?x) (<= ?x 127)))))
+(assert (forall ((?x Int)) (= (= (is ?x T_short) true_term) (and (<= (- 32768) ?x) (<= ?x 32767)))))
+(declare-fun intFirst () Int)
+(declare-fun intLast () Int)
+(assert (forall ((?x Int)) (= (= (is ?x T_int) true_term) (and (<= intFirst ?x) (<= ?x intLast)))))
+(declare-fun longFirst () Int)
+(declare-fun longLast () Int)
+(assert (forall ((?x Int)) (= (= (is ?x T_long) true_term) (and (<= longFirst ?x) (<= ?x longLast)))))
+(assert (< longFirst intFirst))
+(assert (< intFirst (- 1000000)))
+(assert (< 1000000 intLast))
+(assert (< intLast longLast))
+(declare-fun null () Int)
+(declare-fun typeof (Int) Int)
+(assert (forall ((?x Int) (?t Int)) (=> (= (PO_LT ?t T_java_lang_Object) true_term) (= (= (is ?x ?t) true_term) (or (= ?x null) (= (PO_LT (typeof ?x) ?t) true_term))))))
+(declare-fun asField (Int Int) Int)
+(assert (forall ((?f Int) (?t Int) (?x Int)) (= (is (S_select (asField ?f ?t) ?x) ?t) true_term)))
+(declare-fun asElems (Int) Int)
+(assert (forall ((?e Int) (?a Int) (?i Int)) (= (is (S_select (S_select (asElems ?e) ?a) ?i) (elemtype (typeof ?a))) true_term)))
+(declare-fun vAllocTime (Int) Int)
+(declare-fun isAllocated (Int Int) Int)
+(assert (forall ((?x Int) (?a0 Int)) (= (= (isAllocated ?x ?a0) true_term) (< (vAllocTime ?x) ?a0))))
+(declare-fun fClosedTime (Int) Int)
+(assert (forall ((?x Int) (?f Int) (?a0 Int)) (=> (and (< (fClosedTime ?f) ?a0) (= (isAllocated ?x ?a0) true_term)) (= (isAllocated (S_select ?f ?x) ?a0) true_term))))
+(declare-fun eClosedTime (Int) Int)
+(assert (forall ((?a Int) (?e Int) (?i Int) (?a0 Int)) (=> (and (< (eClosedTime ?e) ?a0) (= (isAllocated ?a ?a0) true_term)) (= (isAllocated (S_select (S_select ?e ?a) ?i) ?a0) true_term))))
+(declare-fun asLockSet (Int) Int)
+(declare-fun max (Int) Int)
+(assert (forall ((?S Int)) (let ((?v_0 (asLockSet ?S))) (= (S_select ?v_0 (max ?v_0)) true_term))))
+(assert (forall ((?S Int)) (= (S_select (asLockSet ?S) null) true_term)))
+(declare-fun lockLE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (lockLE ?x ?y) true_term) (<= ?x ?y))))
+(declare-fun lockLT (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (lockLT ?x ?y) true_term) (< ?x ?y))))
+(assert (forall ((?S Int) (?mu Int)) (let ((?v_0 (asLockSet ?S))) (=> (= (S_select ?v_0 ?mu) true_term) (= (lockLE ?mu (max ?v_0)) true_term)))))
+(assert (forall ((?x Int)) (=> (= (PO_LT (typeof ?x) T_java_lang_Object) true_term) (= (lockLE null ?x) true_term))))
+(declare-fun arrayLength (Int) Int)
+(assert (forall ((?a Int)) (let ((?v_0 (arrayLength ?a))) (and (<= 0 ?v_0) (= (is ?v_0 T_int) true_term)))))
+(declare-fun arrayFresh (Int Int Int Int Int Int Int) Int)
+(declare-fun arrayShapeMore (Int Int) Int)
+(declare-fun arrayParent (Int) Int)
+(declare-fun arrayPosition (Int) Int)
+(assert (forall ((?a Int) (?a0 Int) (?b0 Int) (?e Int) (?n Int) (?s Int) (?T Int) (?v Int)) (= (= (arrayFresh ?a ?a0 ?b0 ?e (arrayShapeMore ?n ?s) ?T ?v) true_term) (and (<= ?a0 (vAllocTime ?a)) (= (isAllocated ?a ?b0) true_term) (not (= ?a null)) (= (typeof ?a) ?T) (= (arrayLength ?a) ?n) (forall ((?i Int)) (let ((?v_0 (S_select (S_select ?e ?a) ?i))) (and (= (arrayFresh ?v_0 ?a0 ?b0 ?e ?s (elemtype ?T) ?v) true_term) (= (arrayParent ?v_0) ?a) (= (arrayPosition ?v_0) ?i))))))))
+(declare-fun arrayShapeOne (Int) Int)
+(assert (forall ((?a Int) (?a0 Int) (?b0 Int) (?e Int) (?n Int) (?T Int) (?v Int)) (= (= (arrayFresh ?a ?a0 ?b0 ?e (arrayShapeOne ?n) ?T ?v) true_term) (and (<= ?a0 (vAllocTime ?a)) (= (isAllocated ?a ?b0) true_term) (not (= ?a null)) (= (typeof ?a) ?T) (= (arrayLength ?a) ?n) (forall ((?i Int)) (= (S_select (S_select ?e ?a) ?i) ?v))))))
+(declare-fun arrayType () Int)
+(assert (= arrayType (asChild arrayType T_java_lang_Object)))
+(assert (forall ((?t Int)) (= (PO_LT (array ?t) arrayType) true_term)))
+(declare-fun isNewArray (Int) Int)
+(assert (forall ((?s Int)) (=> (= true_term (isNewArray ?s)) (= (PO_LT (typeof ?s) arrayType) true_term))))
+(declare-fun boolAnd (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolAnd ?a ?b) true_term) (and (= ?a true_term) (= ?b true_term)))))
+(declare-fun boolEq (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolEq ?a ?b) true_term) (= (= ?a true_term) (= ?b true_term)))))
+(declare-fun boolImplies (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolImplies ?a ?b) true_term) (=> (= ?a true_term) (= ?b true_term)))))
+(declare-fun boolNE (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolNE ?a ?b) true_term) (not (= (= ?a true_term) (= ?b true_term))))))
+(declare-fun boolNot (Int) Int)
+(assert (forall ((?a Int)) (= (= (boolNot ?a) true_term) (not (= ?a true_term)))))
+(declare-fun boolOr (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolOr ?a ?b) true_term) (or (= ?a true_term) (= ?b true_term)))))
+(declare-fun integralEQ (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralEQ ?x ?y) true_term) (= ?x ?y))))
+(declare-fun stringCat (Int Int) Int)
+(declare-fun T_java_lang_String () Int)
+(assert (forall ((?x Int) (?y Int)) (let ((?v_0 (stringCat ?x ?y))) (and (not (= ?v_0 null)) (= (PO_LT (typeof ?v_0) T_java_lang_String) true_term)))))
+(declare-fun integralGE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralGE ?x ?y) true_term) (>= ?x ?y))))
+(declare-fun integralGT (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralGT ?x ?y) true_term) (> ?x ?y))))
+(declare-fun integralLE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralLE ?x ?y) true_term) (<= ?x ?y))))
+(declare-fun integralLT (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralLT ?x ?y) true_term) (< ?x ?y))))
+(declare-fun integralNE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralNE ?x ?y) true_term) (not (= ?x ?y)))))
+(declare-fun refEQ (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (refEQ ?x ?y) true_term) (= ?x ?y))))
+(declare-fun refNE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (refNE ?x ?y) true_term) (not (= ?x ?y)))))
+(declare-fun nonnullelements (Int Int) Int)
+(assert (forall ((?x Int) (?e Int)) (= (= (nonnullelements ?x ?e) true_term) (and (not (= ?x null)) (forall ((?i Int)) (=> (and (<= 0 ?i) (< ?i (arrayLength ?x))) (not (= (S_select (S_select ?e ?x) ?i) null))))))))
+(declare-fun classLiteral (Int) Int)
+(declare-fun T_java_lang_Class () Int)
+(declare-fun alloc () Int)
+(assert (forall ((?t Int)) (let ((?v_0 (classLiteral ?t))) (and (not (= ?v_0 null)) (= (is ?v_0 T_java_lang_Class) true_term) (= (isAllocated ?v_0 alloc) true_term)))))
+(declare-fun integralAnd (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (=> (or (<= 0 ?x) (<= 0 ?y)) (<= 0 (integralAnd ?x ?y)))))
+(assert (forall ((?x Int) (?y Int)) (=> (<= 0 ?x) (<= (integralAnd ?x ?y) ?x))))
+(assert (forall ((?x Int) (?y Int)) (=> (<= 0 ?y) (<= (integralAnd ?x ?y) ?y))))
+(declare-fun integralOr (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (let ((?v_0 (integralOr ?x ?y))) (=> (and (<= 0 ?x) (<= 0 ?y)) (and (<= ?x ?v_0) (<= ?y ?v_0))))))
+(declare-fun integralXor (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (=> (and (<= 0 ?x) (<= 0 ?y)) (<= 0 (integralXor ?x ?y)))))
+(declare-fun intShiftL (Int Int) Int)
+(assert (forall ((?n Int)) (=> (and (<= 0 ?n) (< ?n 31)) (<= 1 (intShiftL 1 ?n)))))
+(declare-fun longShiftL (Int Int) Int)
+(assert (forall ((?n Int)) (=> (and (<= 0 ?n) (< ?n 63)) (<= 1 (longShiftL 1 ?n)))))
+(assert true)
+(declare-fun T_javafe_ast_Stmt () Int)
+(declare-fun T_javafe_ast_ASTNode () Int)
+(declare-fun T_javafe_ast_InstanceOfExpr () Int)
+(declare-fun T_javafe_ast_Expr () Int)
+(declare-fun T_java_util_Hashtable () Int)
+(declare-fun T_java_util_Dictionary () Int)
+(declare-fun T_java_util_Map () Int)
+(declare-fun T_java_io_Serializable () Int)
+(declare-fun T_javafe_ast_NewArrayExpr () Int)
+(declare-fun T_javafe_ast_StmtPragma () Int)
+(declare-fun T_javafe_ast_MethodInvocation () Int)
+(declare-fun T_java_lang_Float () Int)
+(declare-fun T_java_lang_Number () Int)
+(declare-fun T_java_lang_Comparable () Int)
+(declare-fun T_javafe_ast_Name () Int)
+(declare-fun T_javafe_ast_InitBlock () Int)
+(declare-fun T_javafe_ast_TypeDeclElem () Int)
+(declare-fun T_javafe_tc_Env () Int)
+(declare-fun T_javafe_ast_Modifiers () Int)
+(declare-fun T_javafe_tc_TagConstants () Int)
+(declare-fun T_javafe_parser_TagConstants () Int)
+(declare-fun T_javafe_ast_ObjectDesignator () Int)
+(declare-fun T_javafe_ast_LocalVarDecl () Int)
+(declare-fun T_javafe_ast_GenericVarDecl () Int)
+(declare-fun T_java_lang__the_root_interface_ () Int)
+(declare-fun T_javafe_tc_FieldDeclVec () Int)
+(declare-fun T_javafe_ast_CatchClause () Int)
+(declare-fun T_javafe_ast_GeneratedTags () Int)
+(declare-fun T_javafe_ast_SwitchStmt () Int)
+(declare-fun T_javafe_ast_GenericBlockStmt () Int)
+(declare-fun T_javafe_ast_LexicalPragmaVec () Int)
+(declare-fun T_javafe_ast_IdentifierVec () Int)
+(declare-fun T_javafe_util_Assert () Int)
+(declare-fun T_javafe_ast_FormalParaDeclVec () Int)
+(declare-fun T_javafe_ast_IfStmt () Int)
+(declare-fun T_java_lang_Boolean () Int)
+(declare-fun T_java_lang_Long () Int)
+(declare-fun T_javafe_ast_NewInstanceExpr () Int)
+(declare-fun T_javafe_ast_LiteralExpr () Int)
+(declare-fun T_javafe_ast_Identifier () Int)
+(declare-fun T_java_util_EscjavaKeyValue () Int)
+(declare-fun T_javafe_ast_SynchronizeStmt () Int)
+(declare-fun T_javafe_ast_CastExpr () Int)
+(declare-fun T_javafe_tc_MethodDeclVec () Int)
+(declare-fun T_javafe_ast_SwitchLabel () Int)
+(declare-fun T_javafe_ast_OnDemandImportDecl () Int)
+(declare-fun T_javafe_ast_ImportDecl () Int)
+(declare-fun T_javafe_ast_LexicalPragma () Int)
+(declare-fun T_javafe_ast_StandardPrettyPrint () Int)
+(declare-fun T_javafe_ast_PrettyPrint () Int)
+(declare-fun T_javafe_ast_BinaryExpr () Int)
+(declare-fun T_javafe_ast_TypeDeclElemVec () Int)
+(declare-fun T_javafe_ast_ConstructorInvocation () Int)
+(declare-fun T_javafe_ast_ThisExpr () Int)
+(declare-fun T_javafe_ast_TryFinallyStmt () Int)
+(declare-fun T_javafe_ast_TypeDecl () Int)
+(declare-fun T_javafe_ast_TypeModifierPragma () Int)
+(declare-fun T_javafe_ast_Type () Int)
+(declare-fun T_javafe_ast_ModifierPragma () Int)
+(declare-fun T_javafe_ast_SuperObjectDesignator () Int)
+(declare-fun T_java_lang_Integer () Int)
+(declare-fun T_javafe_ast_SingleTypeImportDecl () Int)
+(declare-fun T_javafe_ast_ForStmt () Int)
+(declare-fun T_javafe_ast_DoStmt () Int)
+(declare-fun T_javafe_ast_ASTDecoration () Int)
+(declare-fun T_javafe_ast_ExprObjectDesignator () Int)
+(declare-fun T_javafe_ast_VarInitVec () Int)
+(declare-fun T_javafe_ast_VariableAccess () Int)
+(declare-fun T_javafe_ast_TypeDeclVec () Int)
+(declare-fun T_javafe_ast_ThrowStmt () Int)
+(declare-fun T_javafe_ast_StmtVec () Int)
+(declare-fun T_javafe_ast_AmbiguousVariableAccess () Int)
+(declare-fun T_java_io_OutputStream () Int)
+(declare-fun T_javafe_ast_ClassDeclStmt () Int)
+(declare-fun T_javafe_ast_PrimitiveType () Int)
+(declare-fun T_java_lang_Character () Int)
+(declare-fun T_javafe_ast_AmbiguousMethodInvocation () Int)
+(declare-fun T_javafe_ast_TagConstants () Int)
+(declare-fun T_javafe_ast_OperatorTags () Int)
+(declare-fun T_javafe_ast_EvalStmt () Int)
+(declare-fun T_javafe_ast_ClassDecl () Int)
+(declare-fun T_javafe_ast_UnaryExpr () Int)
+(declare-fun T_javafe_ast_TryCatchStmt () Int)
+(declare-fun T_javafe_ast_FieldAccess () Int)
+(declare-fun T_javafe_ast_CompilationUnit () Int)
+(declare-fun T_javafe_ast_FieldDecl () Int)
+(declare-fun T_java_lang_Double () Int)
+(declare-fun T_javafe_ast_ClassLiteral () Int)
+(declare-fun T_javafe_ast_VarInit () Int)
+(declare-fun T_javafe_ast_ReturnStmt () Int)
+(declare-fun T_javafe_ast_FormalParaDecl () Int)
+(declare-fun T_javafe_ast_TypeDeclElemPragma () Int)
+(declare-fun T_javafe_ast_RoutineDecl () Int)
+(declare-fun T_javafe_ast_TypeObjectDesignator () Int)
+(declare-fun T_javafe_ast_TypeNameVec () Int)
+(declare-fun T_javafe_ast_ModifierPragmaVec () Int)
+(declare-fun T_javafe_ast_VarDeclStmt () Int)
+(declare-fun T_javafe_ast_ArrayRefExpr () Int)
+(declare-fun T_javafe_ast_BreakStmt () Int)
+(declare-fun T_javafe_ast_BranchStmt () Int)
+(declare-fun T_java_lang_StringBuffer () Int)
+(declare-fun T_javafe_ast_CatchClauseVec () Int)
+(declare-fun T_javafe_tc_TypeSig () Int)
+(declare-fun T_javafe_ast_TypeModifierPragmaVec () Int)
+(declare-fun T_javafe_ast_CondExpr () Int)
+(declare-fun T_javafe_ast_ArrayType () Int)
+(declare-fun T_javafe_ast_CompoundName () Int)
+(declare-fun T_javafe_ast_ArrayInit () Int)
+(declare-fun T_javafe_ast_ImportDeclVec () Int)
+(declare-fun T_javafe_ast_ExprVec () Int)
+(declare-fun T_javafe_ast_WhileStmt () Int)
+(declare-fun T_javafe_ast_BlockStmt () Int)
+(declare-fun T_javafe_ast_ParenExpr () Int)
+(declare-fun T_javafe_ast_MethodDecl () Int)
+(declare-fun T_javafe_ast_InterfaceDecl () Int)
+(declare-fun T_javafe_ast_ContinueStmt () Int)
+(declare-fun T_javafe_ast_SimpleName () Int)
+(declare-fun T_javafe_ast_SkipStmt () Int)
+(declare-fun T_javafe_ast_ConstructorDecl () Int)
+(declare-fun T_javafe_ast_LabelStmt () Int)
+(declare-fun T_javafe_util_Location () Int)
+(declare-fun T_javafe_ast_TypeName () Int)
+(declare-fun DIST_ZERO_1 () Int)
+(declare-fun T__TYPE () Int)
+(declare-fun VARDECLSTMT_33_27_7 () Int)
+(declare-fun AND_32_20_26 () Int)
+(declare-fun PARENEXPR_33_54_7 () Int)
+(declare-fun ASGURSHIFT_32_48_26 () Int)
+(declare-fun noTokens_119_212_27 () Int)
+(declare-fun NULLLIT_31_45_26 () Int)
+(declare-fun SWITCHSTMT_33_26_7 () Int)
+(declare-fun OR_32_19_26 () Int)
+(declare-fun CASTEXPR_33_53_7 () Int)
+(declare-fun ASGRSHIFT_32_47_26 () Int)
+(declare-fun STRINGLIT_31_44_26 () Int)
+(declare-fun BLOCKSTMT_33_25_7 () Int)
+(declare-fun INSTANCEOFEXPR_33_52_7 () Int)
+(declare-fun ASGLSHIFT_32_46_26 () Int)
+(declare-fun DOUBLELIT_31_43_26 () Int)
+(declare-fun TYPEMODIFIERPRAGMA_119_28_26 () Int)
+(declare-fun FORMALPARADECL_33_24_7 () Int)
+(declare-fun CONDEXPR_33_51_7 () Int)
+(declare-fun ASGSUB_32_45_26 () Int)
+(declare-fun FLOATLIT_31_42_26 () Int)
+(declare-fun TYPEDECLELEMPRAGMA_119_27_26 () Int)
+(declare-fun FIELDDECL_33_23_7 () Int)
+(declare-fun NEWARRAYEXPR_33_50_7 () Int)
+(declare-fun ASGADD_32_44_26 () Int)
+(declare-fun CHARLIT_31_41_26 () Int)
+(declare-fun STMTPRAGMA_119_26_26 () Int)
+(declare-fun LOCALVARDECL_33_22_7 () Int)
+(declare-fun NEWINSTANCEEXPR_33_49_7 () Int)
+(declare-fun ASGREM_32_43_26 () Int)
+(declare-fun LONGLIT_31_40_26 () Int)
+(declare-fun MODIFIERPRAGMA_119_25_26 () Int)
+(declare-fun INITBLOCK_33_21_7 () Int)
+(declare-fun ARRAYREFEXPR_33_48_7 () Int)
+(declare-fun ASGDIV_32_42_26 () Int)
+(declare-fun INTLIT_31_39_26 () Int)
+(declare-fun LEXICALPRAGMA_119_24_26 () Int)
+(declare-fun METHODDECL_33_20_7 () Int)
+(declare-fun otherCodes_119_202_27 () Int)
+(declare-fun THISEXPR_33_47_7 () Int)
+(declare-fun ASGMUL_32_41_26 () Int)
+(declare-fun BOOLEANLIT_31_38_26 () Int)
+(declare-fun CONSTRUCTORDECL_33_19_7 () Int)
+(declare-fun FIRST_KEYWORD_119_51_26 () Int)
+(declare-fun ARRAYINIT_33_46_7 () Int)
+(declare-fun ASSIGN_32_40_26 () Int)
+(declare-fun SHORTTYPE_31_36_26 () Int)
+(declare-fun INTERFACEDECL_33_18_7 () Int)
+(declare-fun CATCHCLAUSE_33_45_7 () Int)
+(declare-fun STAR_32_37_26 () Int)
+(declare-fun BYTETYPE_31_35_26 () Int)
+(declare-fun CLASSDECL_33_17_7 () Int)
+(declare-fun CONSTRUCTORINVOCATION_33_44_7 () Int)
+(declare-fun MOD_32_36_26 () Int)
+(declare-fun TYPESIG_118_6_28 () Int)
+(declare-fun NULLTYPE_31_34_26 () Int)
+(declare-fun ONDEMANDIMPORTDECL_33_16_7 () Int)
+(declare-fun TRYCATCHSTMT_33_43_7 () Int)
+(declare-fun DIV_32_35_26 () Int)
+(declare-fun VOIDTYPE_31_33_26 () Int)
+(declare-fun SINGLETYPEIMPORTDECL_33_15_7 () Int)
+(declare-fun TRYFINALLYSTMT_33_42_7 () Int)
+(declare-fun SUB_32_34_26 () Int)
+(declare-fun DOUBLETYPE_31_32_26 () Int)
+(declare-fun MIN_VALUE_105_39_30 () Int)
+(declare-fun neg2147483648 () Int)
+(declare-fun COMPILATIONUNIT_33_14_7 () Int)
+(declare-fun SWITCHLABEL_33_41_7 () Int)
+(declare-fun ADD_32_33_26 () Int)
+(declare-fun FLOATTYPE_31_31_26 () Int)
+(declare-fun otherStrings_119_193_30 () Int)
+(declare-fun SKIPSTMT_33_40_7 () Int)
+(declare-fun URSHIFT_32_32_26 () Int)
+(declare-fun COMPOUNDNAME_33_67_7 () Int)
+(declare-fun CHARTYPE_31_30_26 () Int)
+(declare-fun FORSTMT_33_39_7 () Int)
+(declare-fun RSHIFT_32_31_26 () Int)
+(declare-fun SIMPLENAME_33_66_7 () Int)
+(declare-fun LONGTYPE_31_29_26 () Int)
+(declare-fun IFSTMT_33_38_7 () Int)
+(declare-fun LSHIFT_32_30_26 () Int)
+(declare-fun ARRAYTYPE_33_65_7 () Int)
+(declare-fun INTTYPE_31_28_26 () Int)
+(declare-fun POSTFIXDEC_32_63_26 () Int)
+(declare-fun LABELSTMT_33_37_7 () Int)
+(declare-fun LT_32_29_26 () Int)
+(declare-fun TYPENAME_33_64_7 () Int)
+(declare-fun BOOLEANTYPE_31_27_26 () Int)
+(declare-fun POSTFIXINC_32_62_26 () Int)
+(declare-fun CONTINUESTMT_33_36_7 () Int)
+(declare-fun LE_32_28_26 () Int)
+(declare-fun SUPEROBJECTDESIGNATOR_33_63_7 () Int)
+(declare-fun IDENT_31_25_26 () Int)
+(declare-fun DEC_32_59_26 () Int)
+(declare-fun BREAKSTMT_33_35_7 () Int)
+(declare-fun GT_32_27_26 () Int)
+(declare-fun TYPEOBJECTDESIGNATOR_33_62_7 () Int)
+(declare-fun INC_32_58_26 () Int)
+(declare-fun THROWSTMT_33_34_7 () Int)
+(declare-fun GE_32_26_26 () Int)
+(declare-fun EXPROBJECTDESIGNATOR_33_61_7 () Int)
+(declare-fun BITNOT_32_57_26 () Int)
+(declare-fun RETURNSTMT_33_33_7 () Int)
+(declare-fun punctuationCodes_119_164_19 () Int)
+(declare-fun punctuationStrings_119_134_22 () Int)
+(declare-fun EQ_32_25_26 () Int)
+(declare-fun CLASSLITERAL_33_60_7 () Int)
+(declare-fun NOT_32_56_26 () Int)
+(declare-fun EVALSTMT_33_32_7 () Int)
+(declare-fun NE_32_24_26 () Int)
+(declare-fun METHODINVOCATION_33_59_7 () Int)
+(declare-fun LAST_KEYWORD_119_103_26 () Int)
+(declare-fun UNARYSUB_32_55_26 () Int)
+(declare-fun MIN_VALUE_107_38_29 () Int)
+(declare-fun neg9223372036854775808 () Int)
+(declare-fun keywordStrings_119_181_30 () Int)
+(declare-fun SYNCHRONIZESTMT_33_31_7 () Int)
+(declare-fun NULL_119_82_26 () Int)
+(declare-fun BITAND_32_23_26 () Int)
+(declare-fun AMBIGUOUSMETHODINVOCATION_33_58_7 () Int)
+(declare-fun UNARYADD_32_54_26 () Int)
+(declare-fun DOSTMT_33_30_7 () Int)
+(declare-fun BITXOR_32_22_26 () Int)
+(declare-fun FIELDACCESS_33_57_7 () Int)
+(declare-fun ASGBITXOR_32_51_26 () Int)
+(declare-fun WHILESTMT_33_29_7 () Int)
+(declare-fun BITOR_32_21_26 () Int)
+(declare-fun VARIABLEACCESS_33_56_7 () Int)
+(declare-fun NULL_116_60_26 () Int)
+(declare-fun ASGBITOR_32_50_26 () Int)
+(declare-fun CLASSDECLSTMT_33_28_7 () Int)
+(declare-fun AMBIGUOUSVARIABLEACCESS_33_55_7 () Int)
+(declare-fun ASGBITAND_32_49_26 () Int)
+(assert (let ((?v_0 (array T_int)) (?v_1 (array T_java_lang_String))) (and (= (PO_LT T_javafe_ast_Stmt T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Stmt (asChild T_javafe_ast_Stmt T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_InstanceOfExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_InstanceOfExpr (asChild T_javafe_ast_InstanceOfExpr T_javafe_ast_Expr)) (= (PO_LT T_java_util_Hashtable T_java_util_Dictionary) true_term) (= T_java_util_Hashtable (asChild T_java_util_Hashtable T_java_util_Dictionary)) (= (PO_LT T_java_util_Hashtable T_java_util_Map) true_term) (= (PO_LT T_java_util_Hashtable T_java_lang_Cloneable) true_term) (= (PO_LT T_java_util_Hashtable T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_NewArrayExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_NewArrayExpr (asChild T_javafe_ast_NewArrayExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_StmtPragma T_javafe_ast_Stmt) true_term) (= T_javafe_ast_StmtPragma (asChild T_javafe_ast_StmtPragma T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_MethodInvocation T_javafe_ast_Expr) true_term) (= T_javafe_ast_MethodInvocation (asChild T_javafe_ast_MethodInvocation T_javafe_ast_Expr)) (= (PO_LT T_java_lang_Float T_java_lang_Number) true_term) (= T_java_lang_Float (asChild T_java_lang_Float T_java_lang_Number)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Float) true_term) (= ?t T_java_lang_Float))) (= (PO_LT T_java_lang_Float T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_Name T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Name (asChild T_javafe_ast_Name T_javafe_ast_ASTNode)) (= (PO_LT T_java_io_Serializable T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_InitBlock T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_InitBlock (asChild T_javafe_ast_InitBlock T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_InitBlock T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_tc_Env T_java_lang_Object) true_term) (= T_javafe_tc_Env (asChild T_javafe_tc_Env T_java_lang_Object)) (= (PO_LT T_javafe_ast_Modifiers T_java_lang_Object) true_term) (= T_javafe_ast_Modifiers (asChild T_javafe_ast_Modifiers T_java_lang_Object)) (= (PO_LT T_javafe_tc_TagConstants T_javafe_parser_TagConstants) true_term) (= T_javafe_tc_TagConstants (asChild T_javafe_tc_TagConstants T_javafe_parser_TagConstants)) (= (PO_LT T_javafe_ast_ObjectDesignator T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_ObjectDesignator (asChild T_javafe_ast_ObjectDesignator T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_ASTNode T_java_lang_Object) true_term) (= T_javafe_ast_ASTNode (asChild T_javafe_ast_ASTNode T_java_lang_Object)) (= (PO_LT T_javafe_ast_ASTNode T_java_lang_Cloneable) true_term) (= (PO_LT T_javafe_ast_LocalVarDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_LocalVarDecl (asChild T_javafe_ast_LocalVarDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_java_lang__the_root_interface_ T_java_lang_Object) true_term) (= (PO_LT T_javafe_tc_FieldDeclVec T_java_lang_Object) true_term) (= T_javafe_tc_FieldDeclVec (asChild T_javafe_tc_FieldDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_CatchClause T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_CatchClause (asChild T_javafe_ast_CatchClause T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_GeneratedTags T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_SwitchStmt T_javafe_ast_GenericBlockStmt) true_term) (= T_javafe_ast_SwitchStmt (asChild T_javafe_ast_SwitchStmt T_javafe_ast_GenericBlockStmt)) (= (PO_LT T_javafe_ast_LexicalPragmaVec T_java_lang_Object) true_term) (= T_javafe_ast_LexicalPragmaVec (asChild T_javafe_ast_LexicalPragmaVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_IdentifierVec T_java_lang_Object) true_term) (= T_javafe_ast_IdentifierVec (asChild T_javafe_ast_IdentifierVec T_java_lang_Object)) (= (PO_LT T_java_lang_Comparable T_java_lang_Object) true_term) (= (PO_LT T_javafe_util_Assert T_java_lang_Object) true_term) (= T_javafe_util_Assert (asChild T_javafe_util_Assert T_java_lang_Object)) (= (PO_LT T_javafe_ast_FormalParaDeclVec T_java_lang_Object) true_term) (= T_javafe_ast_FormalParaDeclVec (asChild T_javafe_ast_FormalParaDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_IfStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_IfStmt (asChild T_javafe_ast_IfStmt T_javafe_ast_Stmt)) (= (PO_LT T_java_lang_Boolean T_java_lang_Object) true_term) (= T_java_lang_Boolean (asChild T_java_lang_Boolean T_java_lang_Object)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Boolean) true_term) (= ?t T_java_lang_Boolean))) (= (PO_LT T_java_lang_Boolean T_java_io_Serializable) true_term) (= (PO_LT T_java_lang_Long T_java_lang_Number) true_term) (= T_java_lang_Long (asChild T_java_lang_Long T_java_lang_Number)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Long) true_term) (= ?t T_java_lang_Long))) (= (PO_LT T_java_lang_Long T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_NewInstanceExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_NewInstanceExpr (asChild T_javafe_ast_NewInstanceExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_LiteralExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_LiteralExpr (asChild T_javafe_ast_LiteralExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_Identifier T_java_lang_Object) true_term) (= T_javafe_ast_Identifier (asChild T_javafe_ast_Identifier T_java_lang_Object)) (forall ((?t Int)) (= (= (PO_LT ?t T_javafe_ast_Identifier) true_term) (= ?t T_javafe_ast_Identifier))) (= (PO_LT T_java_util_Map T_java_lang_Object) true_term) (= (PO_LT T_java_util_Map T_java_util_EscjavaKeyValue) true_term) (= (PO_LT T_javafe_ast_SynchronizeStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SynchronizeStmt (asChild T_javafe_ast_SynchronizeStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_CastExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_CastExpr (asChild T_javafe_ast_CastExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_tc_MethodDeclVec T_java_lang_Object) true_term) (= T_javafe_tc_MethodDeclVec (asChild T_javafe_tc_MethodDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_SwitchLabel T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SwitchLabel (asChild T_javafe_ast_SwitchLabel T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_OnDemandImportDecl T_javafe_ast_ImportDecl) true_term) (= T_javafe_ast_OnDemandImportDecl (asChild T_javafe_ast_OnDemandImportDecl T_javafe_ast_ImportDecl)) (= (PO_LT T_javafe_ast_LexicalPragma T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_LexicalPragma (asChild T_javafe_ast_LexicalPragma T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_StandardPrettyPrint T_javafe_ast_PrettyPrint) true_term) (= T_javafe_ast_StandardPrettyPrint (asChild T_javafe_ast_StandardPrettyPrint T_javafe_ast_PrettyPrint)) (= (PO_LT T_javafe_ast_BinaryExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_BinaryExpr (asChild T_javafe_ast_BinaryExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_TypeDeclElemVec T_java_lang_Object) true_term) (= T_javafe_ast_TypeDeclElemVec (asChild T_javafe_ast_TypeDeclElemVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_ConstructorInvocation T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ConstructorInvocation (asChild T_javafe_ast_ConstructorInvocation T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ThisExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ThisExpr (asChild T_javafe_ast_ThisExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_TryFinallyStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_TryFinallyStmt (asChild T_javafe_ast_TryFinallyStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_TypeDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_TypeDecl (asChild T_javafe_ast_TypeDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_TypeDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_TypeModifierPragma T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_TypeModifierPragma (asChild T_javafe_ast_TypeModifierPragma T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_Type T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Type (asChild T_javafe_ast_Type T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_ModifierPragma T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_ModifierPragma (asChild T_javafe_ast_ModifierPragma T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_SuperObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_SuperObjectDesignator (asChild T_javafe_ast_SuperObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_java_lang_Integer T_java_lang_Number) true_term) (= T_java_lang_Integer (asChild T_java_lang_Integer T_java_lang_Number)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Integer) true_term) (= ?t T_java_lang_Integer))) (= (PO_LT T_java_lang_Integer T_java_lang_Comparable) true_term) (= (PO_LT T_java_lang_Number T_java_lang_Object) true_term) (= T_java_lang_Number (asChild T_java_lang_Number T_java_lang_Object)) (= (PO_LT T_java_lang_Number T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_SingleTypeImportDecl T_javafe_ast_ImportDecl) true_term) (= T_javafe_ast_SingleTypeImportDecl (asChild T_javafe_ast_SingleTypeImportDecl T_javafe_ast_ImportDecl)) (= (PO_LT T_javafe_ast_ImportDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_ImportDecl (asChild T_javafe_ast_ImportDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_ForStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ForStmt (asChild T_javafe_ast_ForStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_DoStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_DoStmt (asChild T_javafe_ast_DoStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ASTDecoration T_java_lang_Object) true_term) (= T_javafe_ast_ASTDecoration (asChild T_javafe_ast_ASTDecoration T_java_lang_Object)) (= (PO_LT T_javafe_ast_ExprObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_ExprObjectDesignator (asChild T_javafe_ast_ExprObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_javafe_ast_VarInitVec T_java_lang_Object) true_term) (= T_javafe_ast_VarInitVec (asChild T_javafe_ast_VarInitVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_VariableAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_VariableAccess (asChild T_javafe_ast_VariableAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_TypeDeclVec T_java_lang_Object) true_term) (= T_javafe_ast_TypeDeclVec (asChild T_javafe_ast_TypeDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_ThrowStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ThrowStmt (asChild T_javafe_ast_ThrowStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_StmtVec T_java_lang_Object) true_term) (= T_javafe_ast_StmtVec (asChild T_javafe_ast_StmtVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_AmbiguousVariableAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_AmbiguousVariableAccess (asChild T_javafe_ast_AmbiguousVariableAccess T_javafe_ast_Expr)) (= (PO_LT T_java_io_OutputStream T_java_lang_Object) true_term) (= T_java_io_OutputStream (asChild T_java_io_OutputStream T_java_lang_Object)) (= (PO_LT T_java_util_Dictionary T_java_lang_Object) true_term) (= T_java_util_Dictionary (asChild T_java_util_Dictionary T_java_lang_Object)) (= (PO_LT T_java_util_Dictionary T_java_util_EscjavaKeyValue) true_term) (= (PO_LT T_javafe_ast_GenericVarDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_GenericVarDecl (asChild T_javafe_ast_GenericVarDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_ClassDeclStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ClassDeclStmt (asChild T_javafe_ast_ClassDeclStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_PrettyPrint T_java_lang_Object) true_term) (= T_javafe_ast_PrettyPrint (asChild T_javafe_ast_PrettyPrint T_java_lang_Object)) (= (PO_LT T_javafe_ast_GenericBlockStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_GenericBlockStmt (asChild T_javafe_ast_GenericBlockStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_PrimitiveType T_javafe_ast_Type) true_term) (= T_javafe_ast_PrimitiveType (asChild T_javafe_ast_PrimitiveType T_javafe_ast_Type)) (= (PO_LT T_java_lang_Character T_java_lang_Object) true_term) (= T_java_lang_Character (asChild T_java_lang_Character T_java_lang_Object)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Character) true_term) (= ?t T_java_lang_Character))) (= (PO_LT T_java_lang_Character T_java_io_Serializable) true_term) (= (PO_LT T_java_lang_Character T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_TypeDeclElem T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_AmbiguousMethodInvocation T_javafe_ast_Expr) true_term) (= T_javafe_ast_AmbiguousMethodInvocation (asChild T_javafe_ast_AmbiguousMethodInvocation T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_TagConstants T_javafe_ast_OperatorTags) true_term) (= T_javafe_ast_TagConstants (asChild T_javafe_ast_TagConstants T_javafe_ast_OperatorTags)) (= (PO_LT T_javafe_ast_EvalStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_EvalStmt (asChild T_javafe_ast_EvalStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ClassDecl T_javafe_ast_TypeDecl) true_term) (= T_javafe_ast_ClassDecl (asChild T_javafe_ast_ClassDecl T_javafe_ast_TypeDecl)) (= (PO_LT T_javafe_ast_UnaryExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_UnaryExpr (asChild T_javafe_ast_UnaryExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_TryCatchStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_TryCatchStmt (asChild T_javafe_ast_TryCatchStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_FieldAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_FieldAccess (asChild T_javafe_ast_FieldAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_CompilationUnit T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_CompilationUnit (asChild T_javafe_ast_CompilationUnit T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_FieldDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_FieldDecl (asChild T_javafe_ast_FieldDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_ast_FieldDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_java_lang_Double T_java_lang_Number) true_term) (= T_java_lang_Double (asChild T_java_lang_Double T_java_lang_Number)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Double) true_term) (= ?t T_java_lang_Double))) (= (PO_LT T_java_lang_Double T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_ClassLiteral T_javafe_ast_Expr) true_term) (= T_javafe_ast_ClassLiteral (asChild T_javafe_ast_ClassLiteral T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_VarInit T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_VarInit (asChild T_javafe_ast_VarInit T_javafe_ast_ASTNode)) (= (PO_LT T_java_lang_Cloneable T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_ReturnStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ReturnStmt (asChild T_javafe_ast_ReturnStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_FormalParaDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_FormalParaDecl (asChild T_javafe_ast_FormalParaDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_ast_TypeDeclElemPragma T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_TypeDeclElemPragma (asChild T_javafe_ast_TypeDeclElemPragma T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_TypeDeclElemPragma T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_RoutineDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_RoutineDecl (asChild T_javafe_ast_RoutineDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_RoutineDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_TypeObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_TypeObjectDesignator (asChild T_javafe_ast_TypeObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_javafe_ast_TypeNameVec T_java_lang_Object) true_term) (= T_javafe_ast_TypeNameVec (asChild T_javafe_ast_TypeNameVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_ModifierPragmaVec T_java_lang_Object) true_term) (= T_javafe_ast_ModifierPragmaVec (asChild T_javafe_ast_ModifierPragmaVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_VarDeclStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_VarDeclStmt (asChild T_javafe_ast_VarDeclStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ArrayRefExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ArrayRefExpr (asChild T_javafe_ast_ArrayRefExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_BreakStmt T_javafe_ast_BranchStmt) true_term) (= T_javafe_ast_BreakStmt (asChild T_javafe_ast_BreakStmt T_javafe_ast_BranchStmt)) (= (PO_LT T_javafe_ast_OperatorTags T_java_lang_Object) true_term) (= T_javafe_ast_OperatorTags (asChild T_javafe_ast_OperatorTags T_java_lang_Object)) (= (PO_LT T_javafe_ast_OperatorTags T_javafe_ast_GeneratedTags) true_term) (= (PO_LT T_java_lang_StringBuffer T_java_lang_Object) true_term) (= T_java_lang_StringBuffer (asChild T_java_lang_StringBuffer T_java_lang_Object)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_StringBuffer) true_term) (= ?t T_java_lang_StringBuffer))) (= (PO_LT T_java_lang_StringBuffer T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_CatchClauseVec T_java_lang_Object) true_term) (= T_javafe_ast_CatchClauseVec (asChild T_javafe_ast_CatchClauseVec T_java_lang_Object)) (= (PO_LT T_javafe_tc_TypeSig T_javafe_ast_Type) true_term) (= T_javafe_tc_TypeSig (asChild T_javafe_tc_TypeSig T_javafe_ast_Type)) (= (PO_LT T_javafe_ast_TypeModifierPragmaVec T_java_lang_Object) true_term) (= T_javafe_ast_TypeModifierPragmaVec (asChild T_javafe_ast_TypeModifierPragmaVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_CondExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_CondExpr (asChild T_javafe_ast_CondExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ArrayType T_javafe_ast_Type) true_term) (= T_javafe_ast_ArrayType (asChild T_javafe_ast_ArrayType T_javafe_ast_Type)) (= (PO_LT T_javafe_parser_TagConstants T_javafe_ast_TagConstants) true_term) (= T_javafe_parser_TagConstants (asChild T_javafe_parser_TagConstants T_javafe_ast_TagConstants)) (= (PO_LT T_javafe_ast_CompoundName T_javafe_ast_Name) true_term) (= T_javafe_ast_CompoundName (asChild T_javafe_ast_CompoundName T_javafe_ast_Name)) (= (PO_LT T_javafe_ast_ArrayInit T_javafe_ast_VarInit) true_term) (= T_javafe_ast_ArrayInit (asChild T_javafe_ast_ArrayInit T_javafe_ast_VarInit)) (= (PO_LT T_javafe_ast_ImportDeclVec T_java_lang_Object) true_term) (= T_javafe_ast_ImportDeclVec (asChild T_javafe_ast_ImportDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_ExprVec T_java_lang_Object) true_term) (= T_javafe_ast_ExprVec (asChild T_javafe_ast_ExprVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_WhileStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_WhileStmt (asChild T_javafe_ast_WhileStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_BlockStmt T_javafe_ast_GenericBlockStmt) true_term) (= T_javafe_ast_BlockStmt (asChild T_javafe_ast_BlockStmt T_javafe_ast_GenericBlockStmt)) (= (PO_LT T_javafe_ast_ParenExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ParenExpr (asChild T_javafe_ast_ParenExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_MethodDecl T_javafe_ast_RoutineDecl) true_term) (= T_javafe_ast_MethodDecl (asChild T_javafe_ast_MethodDecl T_javafe_ast_RoutineDecl)) (= (PO_LT T_javafe_ast_InterfaceDecl T_javafe_ast_TypeDecl) true_term) (= T_javafe_ast_InterfaceDecl (asChild T_javafe_ast_InterfaceDecl T_javafe_ast_TypeDecl)) (= (PO_LT T_javafe_ast_ContinueStmt T_javafe_ast_BranchStmt) true_term) (= T_javafe_ast_ContinueStmt (asChild T_javafe_ast_ContinueStmt T_javafe_ast_BranchStmt)) (= (PO_LT T_javafe_ast_SimpleName T_javafe_ast_Name) true_term) (= T_javafe_ast_SimpleName (asChild T_javafe_ast_SimpleName T_javafe_ast_Name)) (= (PO_LT T_javafe_ast_Expr T_javafe_ast_VarInit) true_term) (= T_javafe_ast_Expr (asChild T_javafe_ast_Expr T_javafe_ast_VarInit)) (= (PO_LT T_java_lang_String T_java_lang_Object) true_term) (= T_java_lang_String (asChild T_java_lang_String T_java_lang_Object)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_String) true_term) (= ?t T_java_lang_String))) (= (PO_LT T_java_lang_String T_java_io_Serializable) true_term) (= (PO_LT T_java_lang_String T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_SkipStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SkipStmt (asChild T_javafe_ast_SkipStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ConstructorDecl T_javafe_ast_RoutineDecl) true_term) (= T_javafe_ast_ConstructorDecl (asChild T_javafe_ast_ConstructorDecl T_javafe_ast_RoutineDecl)) (= (PO_LT T_java_util_EscjavaKeyValue T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_LabelStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_LabelStmt (asChild T_javafe_ast_LabelStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_BranchStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_BranchStmt (asChild T_javafe_ast_BranchStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_util_Location T_java_lang_Object) true_term) (= T_javafe_util_Location (asChild T_javafe_util_Location T_java_lang_Object)) (= (PO_LT T_javafe_ast_TypeName T_javafe_ast_Type) true_term) (= T_javafe_ast_TypeName (asChild T_javafe_ast_TypeName T_javafe_ast_Type)) (and (= arrayType (+ DIST_ZERO_1 0)) (= T_boolean (+ DIST_ZERO_1 1)) (= T_char (+ DIST_ZERO_1 2)) (= T_byte (+ DIST_ZERO_1 3)) (= T_short (+ DIST_ZERO_1 4)) (= T_int (+ DIST_ZERO_1 5)) (= T_long (+ DIST_ZERO_1 6)) (= T_float (+ DIST_ZERO_1 7)) (= T_double (+ DIST_ZERO_1 8)) (= T__TYPE (+ DIST_ZERO_1 9)) (= T_javafe_ast_Stmt (+ DIST_ZERO_1 10)) (= T_javafe_ast_InstanceOfExpr (+ DIST_ZERO_1 11)) (= T_java_util_Hashtable (+ DIST_ZERO_1 12)) (= T_javafe_ast_NewArrayExpr (+ DIST_ZERO_1 13)) (= T_javafe_ast_StmtPragma (+ DIST_ZERO_1 14)) (= T_javafe_ast_MethodInvocation (+ DIST_ZERO_1 15)) (= T_java_lang_Float (+ DIST_ZERO_1 16)) (= T_javafe_ast_Name (+ DIST_ZERO_1 17)) (= T_java_io_Serializable (+ DIST_ZERO_1 18)) (= T_javafe_ast_InitBlock (+ DIST_ZERO_1 19)) (= T_javafe_tc_Env (+ DIST_ZERO_1 20)) (= T_javafe_ast_Modifiers (+ DIST_ZERO_1 21)) (= T_javafe_tc_TagConstants (+ DIST_ZERO_1 22)) (= T_javafe_ast_ObjectDesignator (+ DIST_ZERO_1 23)) (= T_javafe_ast_ASTNode (+ DIST_ZERO_1 24)) (= T_javafe_ast_LocalVarDecl (+ DIST_ZERO_1 25)) (= T_java_lang__the_root_interface_ (+ DIST_ZERO_1 26)) (= T_javafe_tc_FieldDeclVec (+ DIST_ZERO_1 27)) (= T_javafe_ast_CatchClause (+ DIST_ZERO_1 28)) (= T_javafe_ast_GeneratedTags (+ DIST_ZERO_1 29)) (= T_javafe_ast_SwitchStmt (+ DIST_ZERO_1 30)) (= T_javafe_ast_LexicalPragmaVec (+ DIST_ZERO_1 31)) (= T_javafe_ast_IdentifierVec (+ DIST_ZERO_1 32)) (= T_java_lang_Comparable (+ DIST_ZERO_1 33)) (= T_javafe_util_Assert (+ DIST_ZERO_1 34)) (= T_javafe_ast_FormalParaDeclVec (+ DIST_ZERO_1 35)) (= T_javafe_ast_IfStmt (+ DIST_ZERO_1 36)) (= T_java_lang_Boolean (+ DIST_ZERO_1 37)) (= T_java_lang_Long (+ DIST_ZERO_1 38)) (= T_javafe_ast_NewInstanceExpr (+ DIST_ZERO_1 39)) (= T_javafe_ast_LiteralExpr (+ DIST_ZERO_1 40)) (= T_java_lang_Object (+ DIST_ZERO_1 41)) (= T_javafe_ast_Identifier (+ DIST_ZERO_1 42)) (= T_java_util_Map (+ DIST_ZERO_1 43)) (= T_javafe_ast_SynchronizeStmt (+ DIST_ZERO_1 44)) (= T_javafe_ast_CastExpr (+ DIST_ZERO_1 45)) (= T_javafe_tc_MethodDeclVec (+ DIST_ZERO_1 46)) (= T_javafe_ast_SwitchLabel (+ DIST_ZERO_1 47)) (= T_javafe_ast_OnDemandImportDecl (+ DIST_ZERO_1 48)) (= T_javafe_ast_LexicalPragma (+ DIST_ZERO_1 49)) (= T_javafe_ast_StandardPrettyPrint (+ DIST_ZERO_1 50)) (= T_javafe_ast_BinaryExpr (+ DIST_ZERO_1 51)) (= T_javafe_ast_TypeDeclElemVec (+ DIST_ZERO_1 52)) (= T_javafe_ast_ConstructorInvocation (+ DIST_ZERO_1 53)) (= T_javafe_ast_ThisExpr (+ DIST_ZERO_1 54)) (= T_javafe_ast_TryFinallyStmt (+ DIST_ZERO_1 55)) (= T_javafe_ast_TypeDecl (+ DIST_ZERO_1 56)) (= T_javafe_ast_TypeModifierPragma (+ DIST_ZERO_1 57)) (= T_javafe_ast_Type (+ DIST_ZERO_1 58)) (= T_javafe_ast_ModifierPragma (+ DIST_ZERO_1 59)) (= T_javafe_ast_SuperObjectDesignator (+ DIST_ZERO_1 60)) (= T_java_lang_Integer (+ DIST_ZERO_1 61)) (= T_java_lang_Number (+ DIST_ZERO_1 62)) (= T_javafe_ast_SingleTypeImportDecl (+ DIST_ZERO_1 63)) (= T_javafe_ast_ImportDecl (+ DIST_ZERO_1 64)) (= T_javafe_ast_ForStmt (+ DIST_ZERO_1 65)) (= T_javafe_ast_DoStmt (+ DIST_ZERO_1 66)) (= T_javafe_ast_ASTDecoration (+ DIST_ZERO_1 67)) (= T_javafe_ast_ExprObjectDesignator (+ DIST_ZERO_1 68)) (= T_javafe_ast_VarInitVec (+ DIST_ZERO_1 69)) (= T_javafe_ast_VariableAccess (+ DIST_ZERO_1 70)) (= T_javafe_ast_TypeDeclVec (+ DIST_ZERO_1 71)) (= T_javafe_ast_ThrowStmt (+ DIST_ZERO_1 72)) (= T_javafe_ast_StmtVec (+ DIST_ZERO_1 73)) (= T_javafe_ast_AmbiguousVariableAccess (+ DIST_ZERO_1 74)) (= T_java_io_OutputStream (+ DIST_ZERO_1 75)) (= T_java_util_Dictionary (+ DIST_ZERO_1 76)) (= T_javafe_ast_GenericVarDecl (+ DIST_ZERO_1 77)) (= T_javafe_ast_ClassDeclStmt (+ DIST_ZERO_1 78)) (= T_javafe_ast_PrettyPrint (+ DIST_ZERO_1 79)) (= T_javafe_ast_GenericBlockStmt (+ DIST_ZERO_1 80)) (= T_javafe_ast_PrimitiveType (+ DIST_ZERO_1 81)) (= T_java_lang_Character (+ DIST_ZERO_1 82)) (= T_javafe_ast_TypeDeclElem (+ DIST_ZERO_1 83)) (= T_javafe_ast_AmbiguousMethodInvocation (+ DIST_ZERO_1 84)) (= T_javafe_ast_TagConstants (+ DIST_ZERO_1 85)) (= T_javafe_ast_EvalStmt (+ DIST_ZERO_1 86)) (= T_javafe_ast_ClassDecl (+ DIST_ZERO_1 87)) (= T_javafe_ast_UnaryExpr (+ DIST_ZERO_1 88)) (= T_javafe_ast_TryCatchStmt (+ DIST_ZERO_1 89)) (= T_javafe_ast_FieldAccess (+ DIST_ZERO_1 90)) (= T_javafe_ast_CompilationUnit (+ DIST_ZERO_1 91)) (= T_javafe_ast_FieldDecl (+ DIST_ZERO_1 92)) (= T_java_lang_Double (+ DIST_ZERO_1 93)) (= T_javafe_ast_ClassLiteral (+ DIST_ZERO_1 94)) (= T_javafe_ast_VarInit (+ DIST_ZERO_1 95)) (= T_java_lang_Cloneable (+ DIST_ZERO_1 96)) (= T_javafe_ast_ReturnStmt (+ DIST_ZERO_1 97)) (= T_javafe_ast_FormalParaDecl (+ DIST_ZERO_1 98)) (= T_javafe_ast_TypeDeclElemPragma (+ DIST_ZERO_1 99)) (= T_javafe_ast_RoutineDecl (+ DIST_ZERO_1 100)) (= T_javafe_ast_TypeObjectDesignator (+ DIST_ZERO_1 101)) (= T_javafe_ast_TypeNameVec (+ DIST_ZERO_1 102)) (= T_javafe_ast_ModifierPragmaVec (+ DIST_ZERO_1 103)) (= T_javafe_ast_VarDeclStmt (+ DIST_ZERO_1 104)) (= T_javafe_ast_ArrayRefExpr (+ DIST_ZERO_1 105)) (= T_javafe_ast_BreakStmt (+ DIST_ZERO_1 106)) (= T_javafe_ast_OperatorTags (+ DIST_ZERO_1 107)) (= T_java_lang_StringBuffer (+ DIST_ZERO_1 108)) (= T_javafe_ast_CatchClauseVec (+ DIST_ZERO_1 109)) (= T_javafe_tc_TypeSig (+ DIST_ZERO_1 110)) (= T_javafe_ast_TypeModifierPragmaVec (+ DIST_ZERO_1 111)) (= T_javafe_ast_CondExpr (+ DIST_ZERO_1 112)) (= T_javafe_ast_ArrayType (+ DIST_ZERO_1 113)) (= T_javafe_parser_TagConstants (+ DIST_ZERO_1 114)) (= T_javafe_ast_CompoundName (+ DIST_ZERO_1 115)) (= T_javafe_ast_ArrayInit (+ DIST_ZERO_1 116)) (= T_javafe_ast_ImportDeclVec (+ DIST_ZERO_1 117)) (= T_javafe_ast_ExprVec (+ DIST_ZERO_1 118)) (= T_javafe_ast_WhileStmt (+ DIST_ZERO_1 119)) (= T_javafe_ast_BlockStmt (+ DIST_ZERO_1 120)) (= T_javafe_ast_ParenExpr (+ DIST_ZERO_1 121)) (= T_javafe_ast_MethodDecl (+ DIST_ZERO_1 122)) (= T_javafe_ast_InterfaceDecl (+ DIST_ZERO_1 123)) (= T_javafe_ast_ContinueStmt (+ DIST_ZERO_1 124)) (= T_javafe_ast_SimpleName (+ DIST_ZERO_1 125)) (= T_javafe_ast_Expr (+ DIST_ZERO_1 126)) (= T_java_lang_String (+ DIST_ZERO_1 127)) (= T_javafe_ast_SkipStmt (+ DIST_ZERO_1 128)) (= T_javafe_ast_ConstructorDecl (+ DIST_ZERO_1 129)) (= T_java_util_EscjavaKeyValue (+ DIST_ZERO_1 130)) (= T_javafe_ast_LabelStmt (+ DIST_ZERO_1 131)) (= T_javafe_ast_BranchStmt (+ DIST_ZERO_1 132)) (= T_javafe_util_Location (+ DIST_ZERO_1 133)) (= T_javafe_ast_TypeName (+ DIST_ZERO_1 134))) (= true_term (is VARDECLSTMT_33_27_7 T_int)) (= VARDECLSTMT_33_27_7 13) (= true_term (is AND_32_20_26 T_int)) (= AND_32_20_26 55) (= true_term (is PARENEXPR_33_54_7 T_int)) (= PARENEXPR_33_54_7 40) (= true_term (is ASGURSHIFT_32_48_26 T_int)) (= ASGURSHIFT_32_48_26 81) (= true_term (is noTokens_119_212_27 T_int)) (= true_term (is NULLLIT_31_45_26 T_int)) (= NULLLIT_31_45_26 111) (= true_term (is SWITCHSTMT_33_26_7 T_int)) (= SWITCHSTMT_33_26_7 12) (= true_term (is OR_32_19_26 T_int)) (= OR_32_19_26 54) (= true_term (is CASTEXPR_33_53_7 T_int)) (= CASTEXPR_33_53_7 39) (= true_term (is ASGRSHIFT_32_47_26 T_int)) (= ASGRSHIFT_32_47_26 80) (= true_term (is STRINGLIT_31_44_26 T_int)) (= STRINGLIT_31_44_26 110) (= true_term (is BLOCKSTMT_33_25_7 T_int)) (= BLOCKSTMT_33_25_7 11) (= true_term (is INSTANCEOFEXPR_33_52_7 T_int)) (= INSTANCEOFEXPR_33_52_7 38) (= true_term (is ASGLSHIFT_32_46_26 T_int)) (= ASGLSHIFT_32_46_26 79) (= true_term (is DOUBLELIT_31_43_26 T_int)) (= DOUBLELIT_31_43_26 109) (= true_term (is TYPEMODIFIERPRAGMA_119_28_26 T_int)) (= TYPEMODIFIERPRAGMA_119_28_26 118) (= true_term (is FORMALPARADECL_33_24_7 T_int)) (= FORMALPARADECL_33_24_7 10) (= true_term (is CONDEXPR_33_51_7 T_int)) (= CONDEXPR_33_51_7 37) (= true_term (is ASGSUB_32_45_26 T_int)) (= ASGSUB_32_45_26 78) (= true_term (is FLOATLIT_31_42_26 T_int)) (= FLOATLIT_31_42_26 108) (= true_term (is TYPEDECLELEMPRAGMA_119_27_26 T_int)) (= TYPEDECLELEMPRAGMA_119_27_26 117) (= true_term (is FIELDDECL_33_23_7 T_int)) (= FIELDDECL_33_23_7 9) (= true_term (is NEWARRAYEXPR_33_50_7 T_int)) (= NEWARRAYEXPR_33_50_7 36) (= true_term (is ASGADD_32_44_26 T_int)) (= ASGADD_32_44_26 77) (= true_term (is CHARLIT_31_41_26 T_int)) (= CHARLIT_31_41_26 107) (= true_term (is STMTPRAGMA_119_26_26 T_int)) (= STMTPRAGMA_119_26_26 116) (= true_term (is LOCALVARDECL_33_22_7 T_int)) (= LOCALVARDECL_33_22_7 8) (= true_term (is NEWINSTANCEEXPR_33_49_7 T_int)) (= NEWINSTANCEEXPR_33_49_7 35) (= true_term (is ASGREM_32_43_26 T_int)) (= ASGREM_32_43_26 76) (= true_term (is LONGLIT_31_40_26 T_int)) (= LONGLIT_31_40_26 106) (= true_term (is MODIFIERPRAGMA_119_25_26 T_int)) (= MODIFIERPRAGMA_119_25_26 115) (= true_term (is INITBLOCK_33_21_7 T_int)) (= INITBLOCK_33_21_7 7) (= true_term (is ARRAYREFEXPR_33_48_7 T_int)) (= ARRAYREFEXPR_33_48_7 34) (= true_term (is ASGDIV_32_42_26 T_int)) (= ASGDIV_32_42_26 75) (= true_term (is INTLIT_31_39_26 T_int)) (= INTLIT_31_39_26 105) (= true_term (is LEXICALPRAGMA_119_24_26 T_int)) (= LEXICALPRAGMA_119_24_26 114) (= true_term (is METHODDECL_33_20_7 T_int)) (= METHODDECL_33_20_7 6) (= true_term (is otherCodes_119_202_27 ?v_0)) (not (= otherCodes_119_202_27 null)) (= (typeof otherCodes_119_202_27) ?v_0) (= (arrayLength otherCodes_119_202_27) 15) (= true_term (is THISEXPR_33_47_7 T_int)) (= THISEXPR_33_47_7 33) (= true_term (is ASGMUL_32_41_26 T_int)) (= ASGMUL_32_41_26 74) (= true_term (is BOOLEANLIT_31_38_26 T_int)) (= BOOLEANLIT_31_38_26 104) (= true_term (is CONSTRUCTORDECL_33_19_7 T_int)) (= CONSTRUCTORDECL_33_19_7 5) (= true_term (is FIRST_KEYWORD_119_51_26 T_int)) (= FIRST_KEYWORD_119_51_26 133) (= true_term (is ARRAYINIT_33_46_7 T_int)) (= ARRAYINIT_33_46_7 32) (= true_term (is ASSIGN_32_40_26 T_int)) (= ASSIGN_32_40_26 73) (= true_term (is SHORTTYPE_31_36_26 T_int)) (= SHORTTYPE_31_36_26 103) (= true_term (is INTERFACEDECL_33_18_7 T_int)) (= INTERFACEDECL_33_18_7 4) (= true_term (is CATCHCLAUSE_33_45_7 T_int)) (= CATCHCLAUSE_33_45_7 31) (= true_term (is STAR_32_37_26 T_int)) (= STAR_32_37_26 72) (= true_term (is BYTETYPE_31_35_26 T_int)) (= BYTETYPE_31_35_26 102) (= true_term (is CLASSDECL_33_17_7 T_int)) (= CLASSDECL_33_17_7 3) (= true_term (is CONSTRUCTORINVOCATION_33_44_7 T_int)) (= CONSTRUCTORINVOCATION_33_44_7 30) (= true_term (is MOD_32_36_26 T_int)) (= MOD_32_36_26 71) (= true_term (is TYPESIG_118_6_28 T_int)) (= TYPESIG_118_6_28 184) (= true_term (is NULLTYPE_31_34_26 T_int)) (= NULLTYPE_31_34_26 101) (= true_term (is ONDEMANDIMPORTDECL_33_16_7 T_int)) (= ONDEMANDIMPORTDECL_33_16_7 2) (= true_term (is TRYCATCHSTMT_33_43_7 T_int)) (= TRYCATCHSTMT_33_43_7 29) (= true_term (is DIV_32_35_26 T_int)) (= DIV_32_35_26 70) (= true_term (is VOIDTYPE_31_33_26 T_int)) (= VOIDTYPE_31_33_26 100) (= true_term (is SINGLETYPEIMPORTDECL_33_15_7 T_int)) (= SINGLETYPEIMPORTDECL_33_15_7 1) (= true_term (is TRYFINALLYSTMT_33_42_7 T_int)) (= TRYFINALLYSTMT_33_42_7 28) (= true_term (is SUB_32_34_26 T_int)) (= SUB_32_34_26 69) (= true_term (is DOUBLETYPE_31_32_26 T_int)) (= DOUBLETYPE_31_32_26 99) (= true_term (is MIN_VALUE_105_39_30 T_int)) (= MIN_VALUE_105_39_30 neg2147483648) (= true_term (is COMPILATIONUNIT_33_14_7 T_int)) (= COMPILATIONUNIT_33_14_7 0) (= true_term (is SWITCHLABEL_33_41_7 T_int)) (= SWITCHLABEL_33_41_7 27) (= true_term (is ADD_32_33_26 T_int)) (= ADD_32_33_26 68) (= true_term (is FLOATTYPE_31_31_26 T_int)) (= FLOATTYPE_31_31_26 98) (= true_term (is otherStrings_119_193_30 ?v_1)) (not (= otherStrings_119_193_30 null)) (= (typeof otherStrings_119_193_30) ?v_1) (= (arrayLength otherStrings_119_193_30) 15) (= true_term (is SKIPSTMT_33_40_7 T_int)) (= SKIPSTMT_33_40_7 26) (= true_term (is URSHIFT_32_32_26 T_int)) (= URSHIFT_32_32_26 67) (= true_term (is COMPOUNDNAME_33_67_7 T_int)) (= COMPOUNDNAME_33_67_7 53) (= true_term (is CHARTYPE_31_30_26 T_int)) (= CHARTYPE_31_30_26 97) (= true_term (is FORSTMT_33_39_7 T_int)) (= FORSTMT_33_39_7 25) (= true_term (is RSHIFT_32_31_26 T_int)) (= RSHIFT_32_31_26 66) (= true_term (is SIMPLENAME_33_66_7 T_int)) (= SIMPLENAME_33_66_7 52) (= true_term (is LONGTYPE_31_29_26 T_int)) (= LONGTYPE_31_29_26 96) (= true_term (is IFSTMT_33_38_7 T_int)) (= IFSTMT_33_38_7 24) (= true_term (is LSHIFT_32_30_26 T_int)) (= LSHIFT_32_30_26 65) (= true_term (is ARRAYTYPE_33_65_7 T_int)) (= ARRAYTYPE_33_65_7 51) (= true_term (is INTTYPE_31_28_26 T_int)) (= INTTYPE_31_28_26 95) (= true_term (is POSTFIXDEC_32_63_26 T_int)) (= POSTFIXDEC_32_63_26 92) (= true_term (is LABELSTMT_33_37_7 T_int)) (= LABELSTMT_33_37_7 23) (= true_term (is LT_32_29_26 T_int)) (= LT_32_29_26 64) (= true_term (is TYPENAME_33_64_7 T_int)) (= TYPENAME_33_64_7 50) (= true_term (is BOOLEANTYPE_31_27_26 T_int)) (= BOOLEANTYPE_31_27_26 94) (= true_term (is POSTFIXINC_32_62_26 T_int)) (= POSTFIXINC_32_62_26 91) (= true_term (is CONTINUESTMT_33_36_7 T_int)) (= CONTINUESTMT_33_36_7 22) (= true_term (is LE_32_28_26 T_int)) (= LE_32_28_26 63) (= true_term (is SUPEROBJECTDESIGNATOR_33_63_7 T_int)) (= SUPEROBJECTDESIGNATOR_33_63_7 49) (= true_term (is IDENT_31_25_26 T_int)) (= IDENT_31_25_26 93) (= true_term (is DEC_32_59_26 T_int)) (= DEC_32_59_26 90) (= true_term (is BREAKSTMT_33_35_7 T_int)) (= BREAKSTMT_33_35_7 21) (= true_term (is GT_32_27_26 T_int)) (= GT_32_27_26 62) (= true_term (is TYPEOBJECTDESIGNATOR_33_62_7 T_int)) (= TYPEOBJECTDESIGNATOR_33_62_7 48) (= true_term (is INC_32_58_26 T_int)) (= INC_32_58_26 89) (= true_term (is THROWSTMT_33_34_7 T_int)) (= THROWSTMT_33_34_7 20) (= true_term (is GE_32_26_26 T_int)) (= GE_32_26_26 61) (= true_term (is EXPROBJECTDESIGNATOR_33_61_7 T_int)) (= EXPROBJECTDESIGNATOR_33_61_7 47) (= true_term (is BITNOT_32_57_26 T_int)) (= BITNOT_32_57_26 88) (= true_term (is RETURNSTMT_33_33_7 T_int)) (= RETURNSTMT_33_33_7 19) (= true_term (is punctuationCodes_119_164_19 ?v_0)) (not (= punctuationCodes_119_164_19 null)) (= (typeof punctuationCodes_119_164_19) ?v_0) (= (arrayLength punctuationCodes_119_164_19) 48) (= true_term (is punctuationStrings_119_134_22 ?v_1)) (not (= punctuationStrings_119_134_22 null)) (= (typeof punctuationStrings_119_134_22) ?v_1) (= (arrayLength punctuationStrings_119_134_22) 48) (= true_term (is EQ_32_25_26 T_int)) (= EQ_32_25_26 60) (= true_term (is CLASSLITERAL_33_60_7 T_int)) (= CLASSLITERAL_33_60_7 46) (= true_term (is NOT_32_56_26 T_int)) (= NOT_32_56_26 87) (= true_term (is EVALSTMT_33_32_7 T_int)) (= EVALSTMT_33_32_7 18) (= true_term (is NE_32_24_26 T_int)) (= NE_32_24_26 59) (= true_term (is METHODINVOCATION_33_59_7 T_int)) (= METHODINVOCATION_33_59_7 45) (= true_term (is LAST_KEYWORD_119_103_26 T_int)) (= LAST_KEYWORD_119_103_26 183) (= true_term (is UNARYSUB_32_55_26 T_int)) (= UNARYSUB_32_55_26 86) (= true_term (is MIN_VALUE_107_38_29 T_long)) (= MIN_VALUE_107_38_29 neg9223372036854775808) (= true_term (is keywordStrings_119_181_30 ?v_1)) (not (= keywordStrings_119_181_30 null)) (= (typeof keywordStrings_119_181_30) ?v_1) (= (arrayLength keywordStrings_119_181_30) 51) (= true_term (is SYNCHRONIZESTMT_33_31_7 T_int)) (= SYNCHRONIZESTMT_33_31_7 17) (= true_term (is NULL_119_82_26 T_int)) (= NULL_119_82_26 163) (= true_term (is BITAND_32_23_26 T_int)) (= BITAND_32_23_26 58) (= true_term (is AMBIGUOUSMETHODINVOCATION_33_58_7 T_int)) (= AMBIGUOUSMETHODINVOCATION_33_58_7 44) (= true_term (is UNARYADD_32_54_26 T_int)) (= UNARYADD_32_54_26 85) (= true_term (is DOSTMT_33_30_7 T_int)) (= DOSTMT_33_30_7 16) (= true_term (is BITXOR_32_22_26 T_int)) (= BITXOR_32_22_26 57) (= true_term (is FIELDACCESS_33_57_7 T_int)) (= FIELDACCESS_33_57_7 43) (= true_term (is ASGBITXOR_32_51_26 T_int)) (= ASGBITXOR_32_51_26 84) (= true_term (is WHILESTMT_33_29_7 T_int)) (= WHILESTMT_33_29_7 15) (= true_term (is BITOR_32_21_26 T_int)) (= BITOR_32_21_26 56) (= true_term (is VARIABLEACCESS_33_56_7 T_int)) (= VARIABLEACCESS_33_56_7 42) (= true_term (is NULL_116_60_26 T_int)) (= NULL_116_60_26 0) (= true_term (is ASGBITOR_32_50_26 T_int)) (= ASGBITOR_32_50_26 83) (= true_term (is CLASSDECLSTMT_33_28_7 T_int)) (= CLASSDECLSTMT_33_28_7 14) (= true_term (is AMBIGUOUSVARIABLEACCESS_33_55_7 T_int)) (= AMBIGUOUSVARIABLEACCESS_33_55_7 41) (= true_term (is ASGBITAND_32_49_26 T_int)) (= ASGBITAND_32_49_26 82))))
+(declare-fun S_1068_58 () Int)
+(declare-fun S_1068_13 () Int)
+(declare-fun loc_pre_71_29_13 () Int)
+(declare-fun loc_71_29_13 () Int)
+(declare-fun loc_pre_68_33_13 () Int)
+(declare-fun loc_68_33_13 () Int)
+(declare-fun VARDECLSTMT_pre_33_27_7 () Int)
+(declare-fun name_pre_96_20_28 () Int)
+(declare-fun name_96_20_28 () Int)
+(declare-fun tag_pre_117_30_13 () Int)
+(declare-fun tag_117_30_13 () Int)
+(declare-fun label_pre_58_15_34 () Int)
+(declare-fun label_58_15_34 () Int)
+(declare-fun type_pre_87_32_32 () Int)
+(declare-fun type_87_32_32 () Int)
+(declare-fun locOp_pre_93_43_13 () Int)
+(declare-fun locOp_93_43_13 () Int)
+(declare-fun locOpenParen_pre_101_28_13 () Int)
+(declare-fun locOpenParen_101_28_13 () Int)
+(declare-fun name_pre_100_25_28 () Int)
+(declare-fun name_100_25_28 () Int)
+(declare-fun args_pre_70_51_31 () Int)
+(declare-fun args_70_51_31 () Int)
+(declare-fun AND_pre_32_20_26 () Int)
+(declare-fun PARENEXPR_pre_33_54_7 () Int)
+(declare-fun loc_pre_55_20_13 () Int)
+(declare-fun loc_55_20_13 () Int)
+(declare-fun ASGURSHIFT_pre_32_48_26 () Int)
+(declare-fun id_pre_97_19_34 () Int)
+(declare-fun id_97_19_34 () Int)
+(declare-fun noTokens_pre_119_212_27 () Int)
+(declare-fun count_pre_26_67_33 () Int)
+(declare-fun count_26_67_33 () Int)
+(declare-fun NULLLIT_pre_31_45_26 () Int)
+(declare-fun modifiers_pre_24_28_13 () Int)
+(declare-fun modifiers_24_28_13 () Int)
+(declare-fun locGuardOpenParen_pre_49_23_13 () Int)
+(declare-fun locGuardOpenParen_49_23_13 () Int)
+(declare-fun type_pre_91_18_28 () Int)
+(declare-fun type_91_18_28 () Int)
+(declare-fun SWITCHSTMT_pre_33_26_7 () Int)
+(declare-fun tmodifiers_pre_21_30_33 () Int)
+(declare-fun tmodifiers_21_30_33 () Int)
+(declare-fun OR_pre_32_19_26 () Int)
+(declare-fun specOnly_pre_24_26_17 () Int)
+(declare-fun specOnly_24_26_17 () Int)
+(declare-fun CASTEXPR_pre_33_53_7 () Int)
+(declare-fun pmodifiers_pre_76_26_27 () Int)
+(declare-fun pmodifiers_76_26_27 () Int)
+(declare-fun loc_pre_90_21_13 () Int)
+(declare-fun loc_90_21_13 () Int)
+(declare-fun ASGRSHIFT_pre_32_47_26 () Int)
+(declare-fun locCloseParen_pre_95_21_13 () Int)
+(declare-fun locCloseParen_95_21_13 () Int)
+(declare-fun count_pre_84_67_33 () Int)
+(declare-fun count_84_67_33 () Int)
+(declare-fun STRINGLIT_pre_31_44_26 () Int)
+(declare-fun hasParent_pre_25_149_30 () Int)
+(declare-fun hasParent_25_149_30 () Int)
+(declare-fun BLOCKSTMT_pre_33_25_7 () Int)
+(declare-fun modifiers_pre_76_24_13 () Int)
+(declare-fun modifiers_76_24_13 () Int)
+(declare-fun locFinally_pre_59_25_13 () Int)
+(declare-fun locFinally_59_25_13 () Int)
+(declare-fun locDot_pre_87_29_13 () Int)
+(declare-fun locDot_87_29_13 () Int)
+(declare-fun locDot_pre_92_23_13 () Int)
+(declare-fun locDot_92_23_13 () Int)
+(declare-fun locOpenParen_pre_70_48_13 () Int)
+(declare-fun locOpenParen_70_48_13 () Int)
+(declare-fun loc_pre_162_20_13 () Int)
+(declare-fun loc_162_20_13 () Int)
+(declare-fun syntax_pre_21_28_29 () Int)
+(declare-fun syntax_21_28_29 () Int)
+(declare-fun INSTANCEOFEXPR_pre_33_52_7 () Int)
+(declare-fun ASGLSHIFT_pre_32_46_26 () Int)
+(declare-fun implicit_pre_76_23_17 () Int)
+(declare-fun implicit_76_23_17 () Int)
+(declare-fun dims_pre_88_45_31 () Int)
+(declare-fun dims_88_45_31 () Int)
+(declare-fun count_pre_46_67_33 () Int)
+(declare-fun count_46_67_33 () Int)
+(declare-fun DOUBLELIT_pre_31_43_26 () Int)
+(declare-fun TYPEMODIFIERPRAGMA_pre_119_28_26 () Int)
+(declare-fun classPrefix_pre_71_25_14 () Int)
+(declare-fun classPrefix_71_25_14 () Int)
+(declare-fun init_pre_73_20_17 () Int)
+(declare-fun init_73_20_17 () Int)
+(declare-fun body_pre_68_30_28 () Int)
+(declare-fun body_68_30_28 () Int)
+(declare-fun FORMALPARADECL_pre_33_24_7 () Int)
+(declare-fun tag_pre_85_32_13 () Int)
+(declare-fun tag_85_32_13 () Int)
+(declare-fun elements_pre_82_61_47 () Int)
+(declare-fun elements_82_61_47 () Int)
+(declare-fun locId_pre_101_25_13 () Int)
+(declare-fun locId_101_25_13 () Int)
+(declare-fun parent_pre_76_21_18 () Int)
+(declare-fun parent_76_21_18 () Int)
+(declare-fun CONDEXPR_pre_33_51_7 () Int)
+(declare-fun ASGSUB_pre_32_45_26 () Int)
+(declare-fun stmt_pre_55_17_33 () Int)
+(declare-fun stmt_55_17_33 () Int)
+(declare-fun parent_pre_73_18_18 () Int)
+(declare-fun parent_73_18_18 () Int)
+(declare-fun right_pre_93_40_28 () Int)
+(declare-fun right_93_40_28 () Int)
+(declare-fun parent_pre_24_59_18 () Int)
+(declare-fun parent_24_59_18 () Int)
+(declare-fun FLOATLIT_pre_31_42_26 () Int)
+(declare-fun TYPEDECLELEMPRAGMA_pre_119_27_26 () Int)
+(declare-fun pkgName_pre_22_15_28 () Int)
+(declare-fun pkgName_22_15_28 () Int)
+(declare-fun FIELDDECL_pre_33_23_7 () Int)
+(declare-fun loc_pre_6_30_13 () Int)
+(declare-fun loc_6_30_13 () Int)
+(declare-fun NEWARRAYEXPR_pre_33_50_7 () Int)
+(declare-fun elements_pre_17_61_39 () Int)
+(declare-fun elements_17_61_39 () Int)
+(declare-fun loc_pre_85_50_13 () Int)
+(declare-fun loc_85_50_13 () Int)
+(declare-fun ASGADD_pre_32_44_26 () Int)
+(declare-fun type_pre_90_18_28 () Int)
+(declare-fun type_90_18_28 () Int)
+(declare-fun value_pre_29_91_31 () Int)
+(declare-fun value_29_91_31 () Int)
+(declare-fun CHARLIT_pre_31_41_26 () Int)
+(declare-fun STMTPRAGMA_pre_119_26_26 () Int)
+(declare-fun count_pre_61_67_33 () Int)
+(declare-fun count_61_67_33 () Int)
+(declare-fun expr_pre_91_15_28 () Int)
+(declare-fun expr_91_15_28 () Int)
+(declare-fun forUpdate_pre_68_28_31 () Int)
+(declare-fun forUpdate_68_28_31 () Int)
+(declare-fun LOCALVARDECL_pre_33_22_7 () Int)
+(declare-fun elements_pre_155_61_39 () Int)
+(declare-fun elements_155_61_39 () Int)
+(declare-fun locKeyword_pre_70_45_13 () Int)
+(declare-fun locKeyword_70_45_13 () Int)
+(declare-fun NEWINSTANCEEXPR_pre_33_49_7 () Int)
+(declare-fun count_pre_35_67_33 () Int)
+(declare-fun count_35_67_33 () Int)
+(declare-fun expr_pre_55_15_28 () Int)
+(declare-fun expr_55_15_28 () Int)
+(declare-fun ASGREM_pre_32_43_26 () Int)
+(declare-fun left_pre_93_38_28 () Int)
+(declare-fun left_93_38_28 () Int)
+(declare-fun loc_pre_49_20_13 () Int)
+(declare-fun loc_49_20_13 () Int)
+(declare-fun loc_pre_59_22_13 () Int)
+(declare-fun loc_59_22_13 () Int)
+(declare-fun LONGLIT_pre_31_40_26 () Int)
+(declare-fun MODIFIERPRAGMA_pre_119_25_26 () Int)
+(declare-fun locCloseBracket_pre_86_23_13 () Int)
+(declare-fun locCloseBracket_86_23_13 () Int)
+(declare-fun enclosingInstance_pre_87_25_14 () Int)
+(declare-fun enclosingInstance_87_25_14 () Int)
+(declare-fun INITBLOCK_pre_33_21_7 () Int)
+(declare-fun locOpenParen_pre_95_18_13 () Int)
+(declare-fun locOpenParen_95_18_13 () Int)
+(declare-fun elements_pre_14_61_42 () Int)
+(declare-fun elements_14_61_42 () Int)
+(declare-fun count_pre_77_67_33 () Int)
+(declare-fun count_77_67_33 () Int)
+(declare-fun ARRAYREFEXPR_pre_33_48_7 () Int)
+(declare-fun ASGDIV_pre_32_42_26 () Int)
+(declare-fun type_pre_92_20_28 () Int)
+(declare-fun type_92_20_28 () Int)
+(declare-fun INTLIT_pre_31_39_26 () Int)
+(declare-fun locCloseBrace_pre_24_54_13 () Int)
+(declare-fun locCloseBrace_24_54_13 () Int)
+(declare-fun LEXICALPRAGMA_pre_119_24_26 () Int)
+(declare-fun id_pre_101_20_34 () Int)
+(declare-fun id_101_20_34 () Int)
+(declare-fun test_pre_68_26_28 () Int)
+(declare-fun test_68_26_28 () Int)
+(declare-fun displayInferred_pre_4_73_26 () Int)
+(declare-fun displayInferred_4_73_26 () Int)
+(declare-fun METHODDECL_pre_33_20_7 () Int)
+(declare-fun otherCodes_pre_119_202_27 () Int)
+(declare-fun count_pre_143_67_33 () Int)
+(declare-fun count_143_67_33 () Int)
+(declare-fun elements_pre_23_61_37 () Int)
+(declare-fun elements_23_61_37 () Int)
+(declare-fun THISEXPR_pre_33_47_7 () Int)
+(declare-fun ASGMUL_pre_32_41_26 () Int)
+(declare-fun value_pre_85_45_16 () Int)
+(declare-fun value_85_45_16 () Int)
+(declare-fun elems_pre_6_27_35 () Int)
+(declare-fun elems_6_27_35 () Int)
+(declare-fun op_pre_93_35_13 () Int)
+(declare-fun op_93_35_13 () Int)
+(declare-fun parent_pre_79_18_18 () Int)
+(declare-fun parent_79_18_18 () Int)
+(declare-fun loc_pre_60_23_13 () Int)
+(declare-fun loc_60_23_13 () Int)
+(declare-fun BOOLEANLIT_pre_31_38_26 () Int)
+(declare-fun CONSTRUCTORDECL_pre_33_19_7 () Int)
+(declare-fun loc_pre_51_22_13 () Int)
+(declare-fun loc_51_22_13 () Int)
+(declare-fun locOpenBracket_pre_81_21_13 () Int)
+(declare-fun locOpenBracket_81_21_13 () Int)
+(declare-fun FIRST_KEYWORD_pre_119_51_26 () Int)
+(declare-fun ARRAYINIT_pre_33_46_7 () Int)
+(declare-fun ASSIGN_pre_32_40_26 () Int)
+(declare-fun expr_pre_90_15_28 () Int)
+(declare-fun expr_90_15_28 () Int)
+(declare-fun INDENT_pre_4_65_22 () Int)
+(declare-fun INDENT_4_65_22 () Int)
+(declare-fun locDot_pre_70_41_13 () Int)
+(declare-fun locDot_70_41_13 () Int)
+(declare-fun ids_pre_163_19_37 () Int)
+(declare-fun ids_163_19_37 () Int)
+(declare-fun stmt_pre_49_17_28 () Int)
+(declare-fun stmt_49_17_28 () Int)
+(declare-fun finallyClause_pre_59_19_28 () Int)
+(declare-fun finallyClause_59_19_28 () Int)
+(declare-fun init_pre_88_35_19 () Int)
+(declare-fun init_88_35_19 () Int)
+(declare-fun SHORTTYPE_pre_31_36_26 () Int)
+(declare-fun od_pre_101_18_40 () Int)
+(declare-fun od_101_18_40 () Int)
+(declare-fun forInit_pre_68_24_31 () Int)
+(declare-fun forInit_68_24_31 () Int)
+(declare-fun decl_pre_98_28_19 () Int)
+(declare-fun decl_98_28_19 () Int)
+(declare-fun INTERFACEDECL_pre_33_18_7 () Int)
+(declare-fun expr_pre_95_15_28 () Int)
+(declare-fun expr_95_15_28 () Int)
+(declare-fun locOpenBracket_pre_86_20_13 () Int)
+(declare-fun locOpenBracket_86_20_13 () Int)
+(declare-fun CATCHCLAUSE_pre_33_45_7 () Int)
+(declare-fun STAR_pre_32_37_26 () Int)
+(declare-fun locOp_pre_94_32_13 () Int)
+(declare-fun locOp_94_32_13 () Int)
+(declare-fun length_pre_16_50_25 () Int)
+(declare-fun length_16_50_25 () Int)
+(declare-fun imports_pre_6_25_37 () Int)
+(declare-fun imports_6_25_37 () Int)
+(declare-fun BYTETYPE_pre_31_35_26 () Int)
+(declare-fun locOpenBrace_pre_24_51_13 () Int)
+(declare-fun locOpenBrace_24_51_13 () Int)
+(declare-fun CLASSDECL_pre_33_17_7 () Int)
+(declare-fun CONSTRUCTORINVOCATION_pre_33_44_7 () Int)
+(declare-fun MOD_pre_32_36_26 () Int)
+(declare-fun TYPESIG_pre_118_6_28 () Int)
+(declare-fun expr_pre_49_15_28 () Int)
+(declare-fun expr_49_15_28 () Int)
+(declare-fun tryClause_pre_59_17_28 () Int)
+(declare-fun tryClause_59_17_28 () Int)
+(declare-fun NULLTYPE_pre_31_34_26 () Int)
+(declare-fun count_pre_69_67_33 () Int)
+(declare-fun count_69_67_33 () Int)
+(declare-fun ONDEMANDIMPORTDECL_pre_33_16_7 () Int)
+(declare-fun els_pre_51_19_28 () Int)
+(declare-fun els_51_19_28 () Int)
+(declare-fun loc_pre_50_20_13 () Int)
+(declare-fun loc_50_20_13 () Int)
+(declare-fun count_pre_154_67_33 () Int)
+(declare-fun count_154_67_33 () Int)
+(declare-fun locCloseBrace_pre_45_25_13 () Int)
+(declare-fun locCloseBrace_45_25_13 () Int)
+(declare-fun TRYCATCHSTMT_pre_33_43_7 () Int)
+(declare-fun locType_pre_75_21_13 () Int)
+(declare-fun locType_75_21_13 () Int)
+(declare-fun DIV_pre_32_35_26 () Int)
+(declare-fun loc_pre_44_18_13 () Int)
+(declare-fun loc_44_18_13 () Int)
+(declare-fun VOIDTYPE_pre_31_33_26 () Int)
+(declare-fun catchClauses_pre_60_20_38 () Int)
+(declare-fun catchClauses_60_20_38 () Int)
+(declare-fun SINGLETYPEIMPORTDECL_pre_33_15_7 () Int)
+(declare-fun lexicalPragmas_pre_6_23_26 () Int)
+(declare-fun lexicalPragmas_6_23_26 () Int)
+(declare-fun count_pre_38_67_33 () Int)
+(declare-fun count_38_67_33 () Int)
+(declare-fun loc_pre_40_18_13 () Int)
+(declare-fun loc_40_18_13 () Int)
+(declare-fun elements_pre_26_61_43 () Int)
+(declare-fun elements_26_61_43 () Int)
+(declare-fun TRYFINALLYSTMT_pre_33_42_7 () Int)
+(declare-fun index_pre_86_17_28 () Int)
+(declare-fun index_86_17_28 () Int)
+(declare-fun SUB_pre_32_34_26 () Int)
+(declare-fun expr_pre_94_29_28 () Int)
+(declare-fun expr_94_29_28 () Int)
+(declare-fun locCloseBrace_pre_83_24_13 () Int)
+(declare-fun locCloseBrace_83_24_13 () Int)
+(declare-fun loc_pre_62_22_13 () Int)
+(declare-fun loc_62_22_13 () Int)
+(declare-fun locId_pre_98_24_13 () Int)
+(declare-fun locId_98_24_13 () Int)
+(declare-fun DOUBLETYPE_pre_31_32_26 () Int)
+(declare-fun MIN_VALUE_pre_105_39_30 () Int)
+(declare-fun COMPILATIONUNIT_pre_33_14_7 () Int)
+(declare-fun thn_pre_51_17_28 () Int)
+(declare-fun thn_51_17_28 () Int)
+(declare-fun elemType_pre_81_18_28 () Int)
+(declare-fun elemType_81_18_28 () Int)
+(declare-fun pkgName_pre_6_21_14 () Int)
+(declare-fun pkgName_6_21_14 () Int)
+(declare-fun enclosingInstance_pre_70_37_14 () Int)
+(declare-fun enclosingInstance_70_37_14 () Int)
+(declare-fun locId_pre_24_48_13 () Int)
+(declare-fun locId_24_48_13 () Int)
+(declare-fun elements_pre_84_61_36 () Int)
+(declare-fun elements_84_61_36 () Int)
+(declare-fun SWITCHLABEL_pre_33_41_7 () Int)
+(declare-fun ADD_pre_32_33_26 () Int)
+(declare-fun locDots_pre_163_31_29 () Int)
+(declare-fun locDots_163_31_29 () Int)
+(declare-fun typeName_pre_19_15_32 () Int)
+(declare-fun typeName_19_15_32 () Int)
+(declare-fun type_pre_88_24_28 () Int)
+(declare-fun type_88_24_28 () Int)
+(declare-fun type_pre_103_27_28 () Int)
+(declare-fun type_103_27_28 () Int)
+(declare-fun FLOATTYPE_pre_31_31_26 () Int)
+(declare-fun name_pre_20_18_28 () Int)
+(declare-fun name_20_18_28 () Int)
+(declare-fun locId_pre_76_43_13 () Int)
+(declare-fun locId_76_43_13 () Int)
+(declare-fun otherStrings_pre_119_193_30 () Int)
+(declare-fun stmt_pre_50_17_28 () Int)
+(declare-fun stmt_50_17_28 () Int)
+(declare-fun tryClause_pre_60_18_28 () Int)
+(declare-fun tryClause_60_18_28 () Int)
+(declare-fun elements_pre_46_61_33 () Int)
+(declare-fun elements_46_61_33 () Int)
+(declare-fun array_pre_86_15_28 () Int)
+(declare-fun array_86_15_28 () Int)
+(declare-fun SKIPSTMT_pre_33_40_7 () Int)
+(declare-fun locOpenBrace_pre_45_22_13 () Int)
+(declare-fun locOpenBrace_45_22_13 () Int)
+(declare-fun op_pre_94_26_13 () Int)
+(declare-fun op_94_26_13 () Int)
+(declare-fun URSHIFT_pre_32_32_26 () Int)
+(declare-fun expr_pre_44_15_28 () Int)
+(declare-fun expr_44_15_28 () Int)
+(declare-fun locOpenBrackets_pre_88_65_29 () Int)
+(declare-fun locOpenBrackets_88_65_29 () Int)
+(declare-fun COMPOUNDNAME_pre_33_67_7 () Int)
+(declare-fun CHARTYPE_pre_31_30_26 () Int)
+(declare-fun locId_pre_64_38_13 () Int)
+(declare-fun locId_64_38_13 () Int)
+(declare-fun expr_pre_51_15_28 () Int)
+(declare-fun expr_51_15_28 () Int)
+(declare-fun expr_pre_40_15_14 () Int)
+(declare-fun expr_40_15_14 () Int)
+(declare-fun FORSTMT_pre_33_39_7 () Int)
+(declare-fun RSHIFT_pre_32_31_26 () Int)
+(declare-fun body_pre_62_19_33 () Int)
+(declare-fun body_62_19_33 () Int)
+(declare-fun locOpenBrace_pre_83_21_13 () Int)
+(declare-fun locOpenBrace_83_21_13 () Int)
+(declare-fun id_pre_98_21_34 () Int)
+(declare-fun id_98_21_34 () Int)
+(declare-fun block_pre_74_28_33 () Int)
+(declare-fun block_74_28_33 () Int)
+(declare-fun SIMPLENAME_pre_33_66_7 () Int)
+(declare-fun LONGTYPE_pre_31_29_26 () Int)
+(declare-fun expr_pre_50_15_28 () Int)
+(declare-fun expr_50_15_28 () Int)
+(declare-fun locColon_pre_89_25_13 () Int)
+(declare-fun locColon_89_25_13 () Int)
+(declare-fun superClass_pre_34_15_18 () Int)
+(declare-fun superClass_34_15_18 () Int)
+(declare-fun returnType_pre_75_18_28 () Int)
+(declare-fun returnType_75_18_28 () Int)
+(declare-fun IFSTMT_pre_33_38_7 () Int)
+(declare-fun elements_pre_61_61_40 () Int)
+(declare-fun elements_61_61_40 () Int)
+(declare-fun LSHIFT_pre_32_30_26 () Int)
+(declare-fun self_pre_4_41_37 () Int)
+(declare-fun self_4_41_37 () Int)
+(declare-fun loc_pre_24_45_13 () Int)
+(declare-fun loc_24_45_13 () Int)
+(declare-fun ARRAYTYPE_pre_33_65_7 () Int)
+(declare-fun INTTYPE_pre_31_28_26 () Int)
+(declare-fun POSTFIXDEC_pre_32_63_26 () Int)
+(declare-fun locOpenParen_pre_87_52_13 () Int)
+(declare-fun locOpenParen_87_52_13 () Int)
+(declare-fun elements_pre_35_61_37 () Int)
+(declare-fun elements_35_61_37 () Int)
+(declare-fun loc_pre_76_40_13 () Int)
+(declare-fun loc_76_40_13 () Int)
+(declare-fun LABELSTMT_pre_33_37_7 () Int)
+(declare-fun stmts_pre_45_19_31 () Int)
+(declare-fun stmts_45_19_31 () Int)
+(declare-fun LT_pre_32_29_26 () Int)
+(declare-fun arg_pre_62_17_38 () Int)
+(declare-fun arg_62_17_38 () Int)
+(declare-fun od_pre_98_19_40 () Int)
+(declare-fun od_98_19_40 () Int)
+(declare-fun pmodifiers_pre_74_26_27 () Int)
+(declare-fun pmodifiers_74_26_27 () Int)
+(declare-fun elements_pre_77_61_43 () Int)
+(declare-fun elements_77_61_43 () Int)
+(declare-fun TYPENAME_pre_33_64_7 () Int)
+(declare-fun BOOLEANTYPE_pre_31_27_26 () Int)
+(declare-fun POSTFIXINC_pre_32_62_26 () Int)
+(declare-fun type_pre_64_35_28 () Int)
+(declare-fun type_64_35_28 () Int)
+(declare-fun init_pre_67_19_17 () Int)
+(declare-fun init_67_19_17 () Int)
+(declare-fun modifiers_pre_74_24_13 () Int)
+(declare-fun modifiers_74_24_13 () Int)
+(declare-fun CONTINUESTMT_pre_33_36_7 () Int)
+(declare-fun LE_pre_32_28_26 () Int)
+(declare-fun elems_pre_83_18_34 () Int)
+(declare-fun elems_83_18_34 () Int)
+(declare-fun SUPEROBJECTDESIGNATOR_pre_33_63_7 () Int)
+(declare-fun parent_pre_74_22_18 () Int)
+(declare-fun parent_74_22_18 () Int)
+(declare-fun IDENT_pre_31_25_26 () Int)
+(declare-fun DEC_pre_32_59_26 () Int)
+(declare-fun elements_pre_143_61_39 () Int)
+(declare-fun elements_143_61_39 () Int)
+(declare-fun count_pre_82_67_33 () Int)
+(declare-fun count_82_67_33 () Int)
+(declare-fun loc_pre_47_29_13 () Int)
+(declare-fun loc_47_29_13 () Int)
+(declare-fun tokenType_pre_37_90_8 () Int)
+(declare-fun tokenType_37_90_8 () Int)
+(declare-fun BREAKSTMT_pre_33_35_7 () Int)
+(declare-fun loc_pre_43_18_13 () Int)
+(declare-fun loc_43_18_13 () Int)
+(declare-fun locQuestion_pre_89_22_13 () Int)
+(declare-fun locQuestion_89_22_13 () Int)
+(declare-fun GT_pre_32_27_26 () Int)
+(declare-fun elems_pre_24_41_39 () Int)
+(declare-fun elems_24_41_39 () Int)
+(declare-fun TYPEOBJECTDESIGNATOR_pre_33_62_7 () Int)
+(declare-fun INC_pre_32_58_26 () Int)
+(declare-fun loc_pre_87_49_13 () Int)
+(declare-fun loc_87_49_13 () Int)
+(declare-fun count_pre_17_67_33 () Int)
+(declare-fun count_17_67_33 () Int)
+(declare-fun id_pre_75_15_34 () Int)
+(declare-fun id_75_15_34 () Int)
+(declare-fun THROWSTMT_pre_33_34_7 () Int)
+(declare-fun superCall_pre_70_24_17 () Int)
+(declare-fun superCall_70_24_17 () Int)
+(declare-fun GE_pre_32_26_26 () Int)
+(declare-fun decl_pre_97_26_38 () Int)
+(declare-fun decl_97_26_38 () Int)
+(declare-fun EXPROBJECTDESIGNATOR_pre_33_61_7 () Int)
+(declare-fun BITNOT_pre_32_57_26 () Int)
+(declare-fun count_pre_155_67_33 () Int)
+(declare-fun count_155_67_33 () Int)
+(declare-fun RETURNSTMT_pre_33_33_7 () Int)
+(declare-fun punctuationCodes_pre_119_164_19 () Int)
+(declare-fun count_pre_14_67_33 () Int)
+(declare-fun count_14_67_33 () Int)
+(declare-fun punctuationStrings_pre_119_134_22 () Int)
+(declare-fun EQ_pre_32_25_26 () Int)
+(declare-fun loc_pre_53_18_13 () Int)
+(declare-fun loc_53_18_13 () Int)
+(declare-fun locDot_pre_99_21_13 () Int)
+(declare-fun locDot_99_21_13 () Int)
+(declare-fun CLASSLITERAL_pre_33_60_7 () Int)
+(declare-fun locSuper_pre_161_20_13 () Int)
+(declare-fun locSuper_161_20_13 () Int)
+(declare-fun NOT_pre_32_56_26 () Int)
+(declare-fun loc_pre_160_16_13 () Int)
+(declare-fun loc_160_16_13 () Int)
+(declare-fun locCloseParen_pre_91_24_13 () Int)
+(declare-fun locCloseParen_91_24_13 () Int)
+(declare-fun args_pre_100_32_31 () Int)
+(declare-fun args_100_32_31 () Int)
+(declare-fun decl_pre_101_34_20 () Int)
+(declare-fun decl_101_34_20 () Int)
+(declare-fun id_pre_64_32_34 () Int)
+(declare-fun id_64_32_34 () Int)
+(declare-fun expr_pre_47_26_14 () Int)
+(declare-fun expr_47_26_14 () Int)
+(declare-fun decl_pre_66_15_36 () Int)
+(declare-fun decl_66_15_36 () Int)
+(declare-fun locOpenParen_pre_55_23_13 () Int)
+(declare-fun locOpenParen_55_23_13 () Int)
+(declare-fun EVALSTMT_pre_33_32_7 () Int)
+(declare-fun elements_pre_69_61_33 () Int)
+(declare-fun elements_69_61_33 () Int)
+(declare-fun owner_pre_5_35_28 () Int)
+(declare-fun owner_5_35_28 () Int)
+(declare-fun expr_pre_43_15_28 () Int)
+(declare-fun expr_43_15_28 () Int)
+(declare-fun NE_pre_32_24_26 () Int)
+(declare-fun locId_pre_58_20_13 () Int)
+(declare-fun locId_58_20_13 () Int)
+(declare-fun elements_pre_154_61_38 () Int)
+(declare-fun elements_154_61_38 () Int)
+(declare-fun METHODINVOCATION_pre_33_59_7 () Int)
+(declare-fun els_pre_89_19_28 () Int)
+(declare-fun els_89_19_28 () Int)
+(declare-fun LAST_KEYWORD_pre_119_103_26 () Int)
+(declare-fun UNARYSUB_pre_32_55_26 () Int)
+(declare-fun MIN_VALUE_pre_107_38_29 () Int)
+(declare-fun anonDecl_pre_87_45_19 () Int)
+(declare-fun anonDecl_87_45_19 () Int)
+(declare-fun count_pre_23_67_33 () Int)
+(declare-fun count_23_67_33 () Int)
+(declare-fun loc_pre_18_18_13 () Int)
+(declare-fun loc_18_18_13 () Int)
+(declare-fun superInterfaces_pre_24_34_35 () Int)
+(declare-fun superInterfaces_24_34_35 () Int)
+(declare-fun keywordStrings_pre_119_181_30 () Int)
+(declare-fun locOpenBrace_pre_76_36_13 () Int)
+(declare-fun locOpenBrace_76_36_13 () Int)
+(declare-fun SYNCHRONIZESTMT_pre_33_31_7 () Int)
+(declare-fun NULL_pre_119_82_26 () Int)
+(declare-fun BITAND_pre_32_23_26 () Int)
+(declare-fun count_pre_29_99_33 () Int)
+(declare-fun count_29_99_33 () Int)
+(declare-fun elements_pre_38_61_41 () Int)
+(declare-fun elements_38_61_41 () Int)
+(declare-fun body_pre_76_34_19 () Int)
+(declare-fun body_76_34_19 () Int)
+(declare-fun AMBIGUOUSMETHODINVOCATION_pre_33_58_7 () Int)
+(declare-fun UNARYADD_pre_32_54_26 () Int)
+(declare-fun pmodifiers_pre_64_30_27 () Int)
+(declare-fun pmodifiers_64_30_27 () Int)
+(declare-fun locOpenParen_pre_100_30_13 () Int)
+(declare-fun locOpenParen_100_30_13 () Int)
+(declare-fun locFirstSemi_pre_68_36_13 () Int)
+(declare-fun locFirstSemi_68_36_13 () Int)
+(declare-fun loc_pre_117_35_13 () Int)
+(declare-fun loc_117_35_13 () Int)
+(declare-fun raises_pre_76_32_35 () Int)
+(declare-fun raises_76_32_35 () Int)
+(declare-fun inst_pre_4_29_44 () Int)
+(declare-fun inst_4_29_44 () Int)
+(declare-fun DOSTMT_pre_33_30_7 () Int)
+(declare-fun expr_pre_57_15_28 () Int)
+(declare-fun expr_57_15_28 () Int)
+(declare-fun BITXOR_pre_32_22_26 () Int)
+(declare-fun modifiers_pre_64_28_13 () Int)
+(declare-fun modifiers_64_28_13 () Int)
+(declare-fun label_pre_53_15_20 () Int)
+(declare-fun label_53_15_20 () Int)
+(declare-fun FIELDACCESS_pre_33_57_7 () Int)
+(declare-fun thn_pre_89_17_28 () Int)
+(declare-fun thn_89_17_28 () Int)
+(declare-fun ASGBITXOR_pre_32_51_26 () Int)
+(declare-fun loc_pre_97_22_13 () Int)
+(declare-fun loc_97_22_13 () Int)
+(declare-fun locIds_pre_163_25_29 () Int)
+(declare-fun locIds_163_25_29 () Int)
+(declare-fun id_pre_24_32_34 () Int)
+(declare-fun id_24_32_34 () Int)
+(declare-fun locOpenParen_pre_91_21_13 () Int)
+(declare-fun locOpenParen_91_21_13 () Int)
+(declare-fun WHILESTMT_pre_33_29_7 () Int)
+(declare-fun BITOR_pre_32_21_26 () Int)
+(declare-fun stmt_pre_58_17_28 () Int)
+(declare-fun stmt_58_17_28 () Int)
+(declare-fun args_pre_101_30_31 () Int)
+(declare-fun args_101_30_31 () Int)
+(declare-fun args_pre_87_34_31 () Int)
+(declare-fun args_87_34_31 () Int)
+(declare-fun VARIABLEACCESS_pre_33_56_7 () Int)
+(declare-fun NULL_pre_116_60_26 () Int)
+(declare-fun ASGBITOR_pre_32_50_26 () Int)
+(declare-fun inferred_pre_71_33_17 () Int)
+(declare-fun inferred_71_33_17 () Int)
+(declare-fun expr_pre_102_22_28 () Int)
+(declare-fun expr_102_22_28 () Int)
+(declare-fun decl_pre_65_15_33 () Int)
+(declare-fun decl_65_15_33 () Int)
+(declare-fun args_pre_76_30_41 () Int)
+(declare-fun args_76_30_41 () Int)
+(declare-fun CLASSDECLSTMT_pre_33_28_7 () Int)
+(declare-fun test_pre_89_15_28 () Int)
+(declare-fun test_89_15_28 () Int)
+(declare-fun AMBIGUOUSVARIABLEACCESS_pre_33_55_7 () Int)
+(declare-fun ASGBITAND_pre_32_49_26 () Int)
+(declare-fun loc_pre_88_49_13 () Int)
+(declare-fun loc_88_49_13 () Int)
+(declare-fun pmodifiers_pre_24_30_27 () Int)
+(declare-fun pmodifiers_24_30_27 () Int)
+(declare-fun elems_pre () Int)
+(declare-fun elems () Int)
+(declare-fun LS () Int)
+(declare-fun alloc_pre () Int)
+(declare-fun this () Int)
+(declare-fun o_1067_33 () Int)
+(declare-fun lp_1067_50 () Int)
+(declare-fun RES_1068_42_1068_42 () Int)
+(declare-fun EC_1068_42_1068_42 () Int)
+(declare-fun ecReturn () Int)
+(declare-fun s_1068_4_1068_4_4_381_50 () Int)
+(declare-fun EC_1068_4_1068_4 () Int)
+(declare-fun RES_1069_18_1069_18 () Int)
+(declare-fun EC_1069_18_1069_18 () Int)
+(declare-fun EC_1069_4_1069_4 () Int)
+(assert (let ((?v_0 (array T_int)) (?v_1 (array T_java_lang_String)) (?v_6 (not (= o_1067_33 null))) (?v_2 (not (= lp_1067_50 null)))) (let ((?v_8 (not ?v_2)) (?v_11 (= true_term (is RES_1068_42_1068_42 T_int))) (?v_3 (= EC_1068_42_1068_42 ecReturn)) (?v_4 (= true_term (is lp_1067_50 T_javafe_ast_Type))) (?v_5 (not (= RES_1068_42_1068_42 NULL_116_60_26)))) (let ((?v_12 (=> (and ?v_3 (not (and ?v_4 ?v_2))) ?v_5)) (?v_13 (=> (and ?v_3 ?v_4 ?v_2 (= true_term (S_select syntax_21_28_29 (cast lp_1067_50 T_javafe_ast_Type)))) ?v_5)) (?v_7 (= s_1068_4_1068_4_4_381_50 (stringCat (stringCat S_1068_13 RES_1068_42_1068_42) S_1068_58))) (?v_14 (= EC_1068_4_1068_4 ecReturn)) (?v_15 (= true_term (is RES_1069_18_1069_18 T_java_lang_String))) (?v_16 (= true_term (isAllocated RES_1069_18_1069_18 alloc))) (?v_9 (= EC_1069_18_1069_18 ecReturn)) (?v_10 (not (= RES_1069_18_1069_18 null)))) (let ((?v_17 (=> ?v_9 ?v_10))) (not (=> (and true (not (= S_1068_58 null)) (= (typeof S_1068_58) T_java_lang_String) (not (= S_1068_13 null)) (= (typeof S_1068_13) T_java_lang_String) (< neg9223372036854775808 neg2147483648) (< neg2147483648 (- 1000000))) (=> (and (= loc_pre_71_29_13 loc_71_29_13) (= loc_71_29_13 (asField loc_71_29_13 T_int)) (= loc_pre_68_33_13 loc_68_33_13) (= loc_68_33_13 (asField loc_68_33_13 T_int)) (= VARDECLSTMT_pre_33_27_7 VARDECLSTMT_33_27_7) (= true_term (is VARDECLSTMT_33_27_7 T_int)) (= name_pre_96_20_28 name_96_20_28) (= name_96_20_28 (asField name_96_20_28 T_javafe_ast_Name)) (< (fClosedTime name_96_20_28) alloc) (forall ((?s Int)) (=> (not (= ?s null)) (not (= (S_select name_96_20_28 ?s) null)))) (= tag_pre_117_30_13 tag_117_30_13) (= tag_117_30_13 (asField tag_117_30_13 T_int)) (= label_pre_58_15_34 label_58_15_34) (= label_58_15_34 (asField label_58_15_34 T_javafe_ast_Identifier)) (< (fClosedTime label_58_15_34) alloc) (forall ((?s_1_ Int)) (=> (not (= ?s_1_ null)) (not (= (S_select label_58_15_34 ?s_1_) null)))) (= type_pre_87_32_32 type_87_32_32) (= type_87_32_32 (asField type_87_32_32 T_javafe_ast_TypeName)) (< (fClosedTime type_87_32_32) alloc) (forall ((?s_2_ Int)) (=> (not (= ?s_2_ null)) (not (= (S_select type_87_32_32 ?s_2_) null)))) (= locOp_pre_93_43_13 locOp_93_43_13) (= locOp_93_43_13 (asField locOp_93_43_13 T_int)) (= locOpenParen_pre_101_28_13 locOpenParen_101_28_13) (= locOpenParen_101_28_13 (asField locOpenParen_101_28_13 T_int)) (= name_pre_100_25_28 name_100_25_28) (= name_100_25_28 (asField name_100_25_28 T_javafe_ast_Name)) (< (fClosedTime name_100_25_28) alloc) (forall ((?s_3_ Int)) (=> (not (= ?s_3_ null)) (not (= (S_select name_100_25_28 ?s_3_) null)))) (= args_pre_70_51_31 args_70_51_31) (= args_70_51_31 (asField args_70_51_31 T_javafe_ast_ExprVec)) (< (fClosedTime args_70_51_31) alloc) (forall ((?s_4_ Int)) (=> (not (= ?s_4_ null)) (not (= (S_select args_70_51_31 ?s_4_) null)))) (= AND_pre_32_20_26 AND_32_20_26) (= true_term (is AND_32_20_26 T_int)) (= PARENEXPR_pre_33_54_7 PARENEXPR_33_54_7) (= true_term (is PARENEXPR_33_54_7 T_int)) (= loc_pre_55_20_13 loc_55_20_13) (= loc_55_20_13 (asField loc_55_20_13 T_int)) (= ASGURSHIFT_pre_32_48_26 ASGURSHIFT_32_48_26) (= true_term (is ASGURSHIFT_32_48_26 T_int)) (= id_pre_97_19_34 id_97_19_34) (= id_97_19_34 (asField id_97_19_34 T_javafe_ast_Identifier)) (< (fClosedTime id_97_19_34) alloc) (forall ((?s_5_ Int)) (=> (not (= ?s_5_ null)) (not (= (S_select id_97_19_34 ?s_5_) null)))) (= noTokens_pre_119_212_27 noTokens_119_212_27) (= true_term (is noTokens_119_212_27 T_int)) (= count_pre_26_67_33 count_26_67_33) (= count_26_67_33 (asField count_26_67_33 T_int)) (= NULLLIT_pre_31_45_26 NULLLIT_31_45_26) (= true_term (is NULLLIT_31_45_26 T_int)) (= modifiers_pre_24_28_13 modifiers_24_28_13) (= modifiers_24_28_13 (asField modifiers_24_28_13 T_int)) (= locGuardOpenParen_pre_49_23_13 locGuardOpenParen_49_23_13) (= locGuardOpenParen_49_23_13 (asField locGuardOpenParen_49_23_13 T_int)) (= type_pre_91_18_28 type_91_18_28) (= type_91_18_28 (asField type_91_18_28 T_javafe_ast_Type)) (< (fClosedTime type_91_18_28) alloc) (forall ((?s_6_ Int)) (=> (not (= ?s_6_ null)) (not (= (S_select type_91_18_28 ?s_6_) null)))) (= SWITCHSTMT_pre_33_26_7 SWITCHSTMT_33_26_7) (= true_term (is SWITCHSTMT_33_26_7 T_int)) (= tmodifiers_pre_21_30_33 tmodifiers_21_30_33) (= tmodifiers_21_30_33 (asField tmodifiers_21_30_33 T_javafe_ast_TypeModifierPragmaVec)) (< (fClosedTime tmodifiers_21_30_33) alloc) (= OR_pre_32_19_26 OR_32_19_26) (= true_term (is OR_32_19_26 T_int)) (= specOnly_pre_24_26_17 specOnly_24_26_17) (= specOnly_24_26_17 (asField specOnly_24_26_17 T_boolean)) (= CASTEXPR_pre_33_53_7 CASTEXPR_33_53_7) (= true_term (is CASTEXPR_33_53_7 T_int)) (= pmodifiers_pre_76_26_27 pmodifiers_76_26_27) (= pmodifiers_76_26_27 (asField pmodifiers_76_26_27 T_javafe_ast_ModifierPragmaVec)) (< (fClosedTime pmodifiers_76_26_27) alloc) (= loc_pre_90_21_13 loc_90_21_13) (= loc_90_21_13 (asField loc_90_21_13 T_int)) (= ASGRSHIFT_pre_32_47_26 ASGRSHIFT_32_47_26) (= true_term (is ASGRSHIFT_32_47_26 T_int)) (= locCloseParen_pre_95_21_13 locCloseParen_95_21_13) (= locCloseParen_95_21_13 (asField locCloseParen_95_21_13 T_int)) (= count_pre_84_67_33 count_84_67_33) (= count_84_67_33 (asField count_84_67_33 T_int)) (= STRINGLIT_pre_31_44_26 STRINGLIT_31_44_26) (= true_term (is STRINGLIT_31_44_26 T_int)) (= hasParent_pre_25_149_30 hasParent_25_149_30) (= hasParent_25_149_30 (asField hasParent_25_149_30 T_boolean)) (= BLOCKSTMT_pre_33_25_7 BLOCKSTMT_33_25_7) (= true_term (is BLOCKSTMT_33_25_7 T_int)) (= modifiers_pre_76_24_13 modifiers_76_24_13) (= modifiers_76_24_13 (asField modifiers_76_24_13 T_int)) (= locFinally_pre_59_25_13 locFinally_59_25_13) (= locFinally_59_25_13 (asField locFinally_59_25_13 T_int)) (= locDot_pre_87_29_13 locDot_87_29_13) (= locDot_87_29_13 (asField locDot_87_29_13 T_int)) (= locDot_pre_92_23_13 locDot_92_23_13) (= locDot_92_23_13 (asField locDot_92_23_13 T_int)) (= locOpenParen_pre_70_48_13 locOpenParen_70_48_13) (= locOpenParen_70_48_13 (asField locOpenParen_70_48_13 T_int)) (= loc_pre_162_20_13 loc_162_20_13) (= loc_162_20_13 (asField loc_162_20_13 T_int)) (= syntax_pre_21_28_29 syntax_21_28_29) (= syntax_21_28_29 (asField syntax_21_28_29 T_boolean)) (= INSTANCEOFEXPR_pre_33_52_7 INSTANCEOFEXPR_33_52_7) (= true_term (is INSTANCEOFEXPR_33_52_7 T_int)) (= ASGLSHIFT_pre_32_46_26 ASGLSHIFT_32_46_26) (= true_term (is ASGLSHIFT_32_46_26 T_int)) (= implicit_pre_76_23_17 implicit_76_23_17) (= implicit_76_23_17 (asField implicit_76_23_17 T_boolean)) (= dims_pre_88_45_31 dims_88_45_31) (= dims_88_45_31 (asField dims_88_45_31 T_javafe_ast_ExprVec)) (< (fClosedTime dims_88_45_31) alloc) (forall ((?s_7_ Int)) (=> (not (= ?s_7_ null)) (not (= (S_select dims_88_45_31 ?s_7_) null)))) (= count_pre_46_67_33 count_46_67_33) (= count_46_67_33 (asField count_46_67_33 T_int)) (= DOUBLELIT_pre_31_43_26 DOUBLELIT_31_43_26) (= true_term (is DOUBLELIT_31_43_26 T_int)) (= TYPEMODIFIERPRAGMA_pre_119_28_26 TYPEMODIFIERPRAGMA_119_28_26) (= true_term (is TYPEMODIFIERPRAGMA_119_28_26 T_int)) (= classPrefix_pre_71_25_14 classPrefix_71_25_14) (= classPrefix_71_25_14 (asField classPrefix_71_25_14 T_javafe_ast_Type)) (< (fClosedTime classPrefix_71_25_14) alloc) (= init_pre_73_20_17 init_73_20_17) (= init_73_20_17 (asField init_73_20_17 T_javafe_ast_VarInit)) (< (fClosedTime init_73_20_17) alloc) (= body_pre_68_30_28 body_68_30_28) (= body_68_30_28 (asField body_68_30_28 T_javafe_ast_Stmt)) (< (fClosedTime body_68_30_28) alloc) (forall ((?s_8_ Int)) (=> (not (= ?s_8_ null)) (not (= (S_select body_68_30_28 ?s_8_) null)))) (= FORMALPARADECL_pre_33_24_7 FORMALPARADECL_33_24_7) (= true_term (is FORMALPARADECL_33_24_7 T_int)) (= tag_pre_85_32_13 tag_85_32_13) (= tag_85_32_13 (asField tag_85_32_13 T_int)) (= elements_pre_82_61_47 elements_82_61_47) (= elements_82_61_47 (asField elements_82_61_47 (array T_javafe_ast_TypeModifierPragma))) (< (fClosedTime elements_82_61_47) alloc) (forall ((?s_9_ Int)) (=> (not (= ?s_9_ null)) (not (= (S_select elements_82_61_47 ?s_9_) null)))) (= locId_pre_101_25_13 locId_101_25_13) (= locId_101_25_13 (asField locId_101_25_13 T_int)) (= parent_pre_76_21_18 parent_76_21_18) (= parent_76_21_18 (asField parent_76_21_18 T_javafe_ast_TypeDecl)) (< (fClosedTime parent_76_21_18) alloc) (= CONDEXPR_pre_33_51_7 CONDEXPR_33_51_7) (= true_term (is CONDEXPR_33_51_7 T_int)) (= ASGSUB_pre_32_45_26 ASGSUB_32_45_26) (= true_term (is ASGSUB_32_45_26 T_int)) (= stmt_pre_55_17_33 stmt_55_17_33) (= stmt_55_17_33 (asField stmt_55_17_33 T_javafe_ast_BlockStmt)) (< (fClosedTime stmt_55_17_33) alloc) (forall ((?s_10_ Int)) (=> (not (= ?s_10_ null)) (not (= (S_select stmt_55_17_33 ?s_10_) null)))) (= parent_pre_73_18_18 parent_73_18_18) (= parent_73_18_18 (asField parent_73_18_18 T_javafe_ast_TypeDecl)) (< (fClosedTime parent_73_18_18) alloc) (= right_pre_93_40_28 right_93_40_28) (= right_93_40_28 (asField right_93_40_28 T_javafe_ast_Expr)) (< (fClosedTime right_93_40_28) alloc) (forall ((?s_11_ Int)) (=> (not (= ?s_11_ null)) (not (= (S_select right_93_40_28 ?s_11_) null)))) (= parent_pre_24_59_18 parent_24_59_18) (= parent_24_59_18 (asField parent_24_59_18 T_javafe_ast_TypeDecl)) (< (fClosedTime parent_24_59_18) alloc) (= FLOATLIT_pre_31_42_26 FLOATLIT_31_42_26) (= true_term (is FLOATLIT_31_42_26 T_int)) (= TYPEDECLELEMPRAGMA_pre_119_27_26 TYPEDECLELEMPRAGMA_119_27_26) (= true_term (is TYPEDECLELEMPRAGMA_119_27_26 T_int)) (= pkgName_pre_22_15_28 pkgName_22_15_28) (= pkgName_22_15_28 (asField pkgName_22_15_28 T_javafe_ast_Name)) (< (fClosedTime pkgName_22_15_28) alloc) (forall ((?s_12_ Int)) (=> (not (= ?s_12_ null)) (not (= (S_select pkgName_22_15_28 ?s_12_) null)))) (= FIELDDECL_pre_33_23_7 FIELDDECL_33_23_7) (= true_term (is FIELDDECL_33_23_7 T_int)) (= loc_pre_6_30_13 loc_6_30_13) (= loc_6_30_13 (asField loc_6_30_13 T_int)) (= NEWARRAYEXPR_pre_33_50_7 NEWARRAYEXPR_33_50_7) (= true_term (is NEWARRAYEXPR_33_50_7 T_int)) (= elements_pre_17_61_39 elements_17_61_39) (= elements_17_61_39 (asField elements_17_61_39 (array T_javafe_ast_ImportDecl))) (< (fClosedTime elements_17_61_39) alloc) (forall ((?s_13_ Int)) (=> (not (= ?s_13_ null)) (not (= (S_select elements_17_61_39 ?s_13_) null)))) (= loc_pre_85_50_13 loc_85_50_13) (= loc_85_50_13 (asField loc_85_50_13 T_int)) (= ASGADD_pre_32_44_26 ASGADD_32_44_26) (= true_term (is ASGADD_32_44_26 T_int)) (= type_pre_90_18_28 type_90_18_28) (= type_90_18_28 (asField type_90_18_28 T_javafe_ast_Type)) (< (fClosedTime type_90_18_28) alloc) (forall ((?s_14_ Int)) (=> (not (= ?s_14_ null)) (not (= (S_select type_90_18_28 ?s_14_) null)))) (= value_pre_29_91_31 value_29_91_31) (= value_29_91_31 (asField value_29_91_31 (array T_char))) (< (fClosedTime value_29_91_31) alloc) (forall ((?s_15_ Int)) (=> (not (= ?s_15_ null)) (not (= (S_select value_29_91_31 ?s_15_) null)))) (= CHARLIT_pre_31_41_26 CHARLIT_31_41_26) (= true_term (is CHARLIT_31_41_26 T_int)) (= STMTPRAGMA_pre_119_26_26 STMTPRAGMA_119_26_26) (= true_term (is STMTPRAGMA_119_26_26 T_int)) (= count_pre_61_67_33 count_61_67_33) (= count_61_67_33 (asField count_61_67_33 T_int)) (= expr_pre_91_15_28 expr_91_15_28) (= expr_91_15_28 (asField expr_91_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_91_15_28) alloc) (forall ((?s_16_ Int)) (=> (not (= ?s_16_ null)) (not (= (S_select expr_91_15_28 ?s_16_) null)))) (= forUpdate_pre_68_28_31 forUpdate_68_28_31) (= forUpdate_68_28_31 (asField forUpdate_68_28_31 T_javafe_ast_ExprVec)) (< (fClosedTime forUpdate_68_28_31) alloc) (forall ((?s_17_ Int)) (=> (not (= ?s_17_ null)) (not (= (S_select forUpdate_68_28_31 ?s_17_) null)))) (= LOCALVARDECL_pre_33_22_7 LOCALVARDECL_33_22_7) (= true_term (is LOCALVARDECL_33_22_7 T_int)) (= elements_pre_155_61_39 elements_155_61_39) (= elements_155_61_39 (asField elements_155_61_39 (array T_javafe_ast_MethodDecl))) (< (fClosedTime elements_155_61_39) alloc) (forall ((?s_18_ Int)) (=> (not (= ?s_18_ null)) (not (= (S_select elements_155_61_39 ?s_18_) null)))) (= locKeyword_pre_70_45_13 locKeyword_70_45_13) (= locKeyword_70_45_13 (asField locKeyword_70_45_13 T_int)) (= NEWINSTANCEEXPR_pre_33_49_7 NEWINSTANCEEXPR_33_49_7) (= true_term (is NEWINSTANCEEXPR_33_49_7 T_int)) (= count_pre_35_67_33 count_35_67_33) (= count_35_67_33 (asField count_35_67_33 T_int)) (= expr_pre_55_15_28 expr_55_15_28) (= expr_55_15_28 (asField expr_55_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_55_15_28) alloc) (forall ((?s_19_ Int)) (=> (not (= ?s_19_ null)) (not (= (S_select expr_55_15_28 ?s_19_) null)))) (= ASGREM_pre_32_43_26 ASGREM_32_43_26) (= true_term (is ASGREM_32_43_26 T_int)) (= left_pre_93_38_28 left_93_38_28) (= left_93_38_28 (asField left_93_38_28 T_javafe_ast_Expr)) (< (fClosedTime left_93_38_28) alloc) (forall ((?s_20_ Int)) (=> (not (= ?s_20_ null)) (not (= (S_select left_93_38_28 ?s_20_) null)))) (= loc_pre_49_20_13 loc_49_20_13) (= loc_49_20_13 (asField loc_49_20_13 T_int)) (= loc_pre_59_22_13 loc_59_22_13) (= loc_59_22_13 (asField loc_59_22_13 T_int)) (= LONGLIT_pre_31_40_26 LONGLIT_31_40_26) (= true_term (is LONGLIT_31_40_26 T_int)) (= MODIFIERPRAGMA_pre_119_25_26 MODIFIERPRAGMA_119_25_26) (= true_term (is MODIFIERPRAGMA_119_25_26 T_int)) (= locCloseBracket_pre_86_23_13 locCloseBracket_86_23_13) (= locCloseBracket_86_23_13 (asField locCloseBracket_86_23_13 T_int)) (= enclosingInstance_pre_87_25_14 enclosingInstance_87_25_14) (= enclosingInstance_87_25_14 (asField enclosingInstance_87_25_14 T_javafe_ast_Expr)) (< (fClosedTime enclosingInstance_87_25_14) alloc) (= INITBLOCK_pre_33_21_7 INITBLOCK_33_21_7) (= true_term (is INITBLOCK_33_21_7 T_int)) (= locOpenParen_pre_95_18_13 locOpenParen_95_18_13) (= locOpenParen_95_18_13 (asField locOpenParen_95_18_13 T_int)) (= elements_pre_14_61_42 elements_14_61_42) (= elements_14_61_42 (asField elements_14_61_42 (array T_javafe_ast_LexicalPragma))) (< (fClosedTime elements_14_61_42) alloc) (forall ((?s_21_ Int)) (=> (not (= ?s_21_ null)) (not (= (S_select elements_14_61_42 ?s_21_) null)))) (= count_pre_77_67_33 count_77_67_33) (= count_77_67_33 (asField count_77_67_33 T_int)) (= ARRAYREFEXPR_pre_33_48_7 ARRAYREFEXPR_33_48_7) (= true_term (is ARRAYREFEXPR_33_48_7 T_int)) (= ASGDIV_pre_32_42_26 ASGDIV_32_42_26) (= true_term (is ASGDIV_32_42_26 T_int)) (= type_pre_92_20_28 type_92_20_28) (= type_92_20_28 (asField type_92_20_28 T_javafe_ast_Type)) (< (fClosedTime type_92_20_28) alloc) (forall ((?s_22_ Int)) (=> (not (= ?s_22_ null)) (not (= (S_select type_92_20_28 ?s_22_) null)))) (= INTLIT_pre_31_39_26 INTLIT_31_39_26) (= true_term (is INTLIT_31_39_26 T_int)) (= locCloseBrace_pre_24_54_13 locCloseBrace_24_54_13) (= locCloseBrace_24_54_13 (asField locCloseBrace_24_54_13 T_int)) (= LEXICALPRAGMA_pre_119_24_26 LEXICALPRAGMA_119_24_26) (= true_term (is LEXICALPRAGMA_119_24_26 T_int)) (= id_pre_101_20_34 id_101_20_34) (= id_101_20_34 (asField id_101_20_34 T_javafe_ast_Identifier)) (< (fClosedTime id_101_20_34) alloc) (forall ((?s_23_ Int)) (=> (not (= ?s_23_ null)) (not (= (S_select id_101_20_34 ?s_23_) null)))) (= test_pre_68_26_28 test_68_26_28) (= test_68_26_28 (asField test_68_26_28 T_javafe_ast_Expr)) (< (fClosedTime test_68_26_28) alloc) (forall ((?s_24_ Int)) (=> (not (= ?s_24_ null)) (not (= (S_select test_68_26_28 ?s_24_) null)))) (= displayInferred_pre_4_73_26 displayInferred_4_73_26) (= true_term (is displayInferred_4_73_26 T_boolean)) (= METHODDECL_pre_33_20_7 METHODDECL_33_20_7) (= true_term (is METHODDECL_33_20_7 T_int)) (= otherCodes_pre_119_202_27 otherCodes_119_202_27) (= true_term (is otherCodes_119_202_27 ?v_0)) (= true_term (isAllocated otherCodes_119_202_27 alloc)) (= count_pre_143_67_33 count_143_67_33) (= count_143_67_33 (asField count_143_67_33 T_int)) (= elements_pre_23_61_37 elements_23_61_37) (= elements_23_61_37 (asField elements_23_61_37 (array T_javafe_ast_TypeDecl))) (< (fClosedTime elements_23_61_37) alloc) (forall ((?s_25_ Int)) (=> (not (= ?s_25_ null)) (not (= (S_select elements_23_61_37 ?s_25_) null)))) (= THISEXPR_pre_33_47_7 THISEXPR_33_47_7) (= true_term (is THISEXPR_33_47_7 T_int)) (= ASGMUL_pre_32_41_26 ASGMUL_32_41_26) (= true_term (is ASGMUL_32_41_26 T_int)) (= value_pre_85_45_16 value_85_45_16) (= value_85_45_16 (asField value_85_45_16 T_java_lang_Object)) (< (fClosedTime value_85_45_16) alloc) (= elems_pre_6_27_35 elems_6_27_35) (= elems_6_27_35 (asField elems_6_27_35 T_javafe_ast_TypeDeclVec)) (< (fClosedTime elems_6_27_35) alloc) (forall ((?s_26_ Int)) (=> (not (= ?s_26_ null)) (not (= (S_select elems_6_27_35 ?s_26_) null)))) (= op_pre_93_35_13 op_93_35_13) (= op_93_35_13 (asField op_93_35_13 T_int)) (= parent_pre_79_18_18 parent_79_18_18) (= parent_79_18_18 (asField parent_79_18_18 T_javafe_ast_TypeDecl)) (< (fClosedTime parent_79_18_18) alloc) (= loc_pre_60_23_13 loc_60_23_13) (= loc_60_23_13 (asField loc_60_23_13 T_int)) (= BOOLEANLIT_pre_31_38_26 BOOLEANLIT_31_38_26) (= true_term (is BOOLEANLIT_31_38_26 T_int)) (= CONSTRUCTORDECL_pre_33_19_7 CONSTRUCTORDECL_33_19_7) (= true_term (is CONSTRUCTORDECL_33_19_7 T_int)) (= loc_pre_51_22_13 loc_51_22_13) (= loc_51_22_13 (asField loc_51_22_13 T_int)) (= locOpenBracket_pre_81_21_13 locOpenBracket_81_21_13) (= locOpenBracket_81_21_13 (asField locOpenBracket_81_21_13 T_int)) (= FIRST_KEYWORD_pre_119_51_26 FIRST_KEYWORD_119_51_26) (= true_term (is FIRST_KEYWORD_119_51_26 T_int)) (= ARRAYINIT_pre_33_46_7 ARRAYINIT_33_46_7) (= true_term (is ARRAYINIT_33_46_7 T_int)) (= ASSIGN_pre_32_40_26 ASSIGN_32_40_26) (= true_term (is ASSIGN_32_40_26 T_int)) (= expr_pre_90_15_28 expr_90_15_28) (= expr_90_15_28 (asField expr_90_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_90_15_28) alloc) (forall ((?s_27_ Int)) (=> (not (= ?s_27_ null)) (not (= (S_select expr_90_15_28 ?s_27_) null)))) (= INDENT_pre_4_65_22 INDENT_4_65_22) (= true_term (is INDENT_4_65_22 T_int)) (= locDot_pre_70_41_13 locDot_70_41_13) (= locDot_70_41_13 (asField locDot_70_41_13 T_int)) (= ids_pre_163_19_37 ids_163_19_37) (= ids_163_19_37 (asField ids_163_19_37 T_javafe_ast_IdentifierVec)) (< (fClosedTime ids_163_19_37) alloc) (forall ((?s_28_ Int)) (=> (not (= ?s_28_ null)) (not (= (S_select ids_163_19_37 ?s_28_) null)))) (= stmt_pre_49_17_28 stmt_49_17_28) (= stmt_49_17_28 (asField stmt_49_17_28 T_javafe_ast_Stmt)) (< (fClosedTime stmt_49_17_28) alloc) (forall ((?s_29_ Int)) (=> (not (= ?s_29_ null)) (not (= (S_select stmt_49_17_28 ?s_29_) null)))) (= finallyClause_pre_59_19_28 finallyClause_59_19_28) (= finallyClause_59_19_28 (asField finallyClause_59_19_28 T_javafe_ast_Stmt)) (< (fClosedTime finallyClause_59_19_28) alloc) (forall ((?s_30_ Int)) (=> (not (= ?s_30_ null)) (not (= (S_select finallyClause_59_19_28 ?s_30_) null)))) (= init_pre_88_35_19 init_88_35_19) (= init_88_35_19 (asField init_88_35_19 T_javafe_ast_ArrayInit)) (< (fClosedTime init_88_35_19) alloc) (= SHORTTYPE_pre_31_36_26 SHORTTYPE_31_36_26) (= true_term (is SHORTTYPE_31_36_26 T_int)) (= od_pre_101_18_40 od_101_18_40) (= od_101_18_40 (asField od_101_18_40 T_javafe_ast_ObjectDesignator)) (< (fClosedTime od_101_18_40) alloc) (forall ((?s_31_ Int)) (=> (not (= ?s_31_ null)) (not (= (S_select od_101_18_40 ?s_31_) null)))) (= forInit_pre_68_24_31 forInit_68_24_31) (= forInit_68_24_31 (asField forInit_68_24_31 T_javafe_ast_StmtVec)) (< (fClosedTime forInit_68_24_31) alloc) (forall ((?s_32_ Int)) (=> (not (= ?s_32_ null)) (not (= (S_select forInit_68_24_31 ?s_32_) null)))) (= decl_pre_98_28_19 decl_98_28_19) (= decl_98_28_19 (asField decl_98_28_19 T_javafe_ast_FieldDecl)) (< (fClosedTime decl_98_28_19) alloc) (= INTERFACEDECL_pre_33_18_7 INTERFACEDECL_33_18_7) (= true_term (is INTERFACEDECL_33_18_7 T_int)) (= expr_pre_95_15_28 expr_95_15_28) (= expr_95_15_28 (asField expr_95_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_95_15_28) alloc) (forall ((?s_33_ Int)) (=> (not (= ?s_33_ null)) (not (= (S_select expr_95_15_28 ?s_33_) null)))) (= locOpenBracket_pre_86_20_13 locOpenBracket_86_20_13) (= locOpenBracket_86_20_13 (asField locOpenBracket_86_20_13 T_int)) (= CATCHCLAUSE_pre_33_45_7 CATCHCLAUSE_33_45_7) (= true_term (is CATCHCLAUSE_33_45_7 T_int)) (= STAR_pre_32_37_26 STAR_32_37_26) (= true_term (is STAR_32_37_26 T_int)) (= locOp_pre_94_32_13 locOp_94_32_13) (= locOp_94_32_13 (asField locOp_94_32_13 T_int)) (= length_pre_16_50_25 length_16_50_25) (= length_16_50_25 (asField length_16_50_25 T_int)) (= imports_pre_6_25_37 imports_6_25_37) (= imports_6_25_37 (asField imports_6_25_37 T_javafe_ast_ImportDeclVec)) (< (fClosedTime imports_6_25_37) alloc) (forall ((?s_34_ Int)) (=> (not (= ?s_34_ null)) (not (= (S_select imports_6_25_37 ?s_34_) null)))) (= BYTETYPE_pre_31_35_26 BYTETYPE_31_35_26) (= true_term (is BYTETYPE_31_35_26 T_int)) (= locOpenBrace_pre_24_51_13 locOpenBrace_24_51_13) (= locOpenBrace_24_51_13 (asField locOpenBrace_24_51_13 T_int)) (= CLASSDECL_pre_33_17_7 CLASSDECL_33_17_7) (= true_term (is CLASSDECL_33_17_7 T_int)) (= CONSTRUCTORINVOCATION_pre_33_44_7 CONSTRUCTORINVOCATION_33_44_7) (= true_term (is CONSTRUCTORINVOCATION_33_44_7 T_int)) (= MOD_pre_32_36_26 MOD_32_36_26) (= true_term (is MOD_32_36_26 T_int)) (= TYPESIG_pre_118_6_28 TYPESIG_118_6_28) (= true_term (is TYPESIG_118_6_28 T_int)) (= expr_pre_49_15_28 expr_49_15_28) (= expr_49_15_28 (asField expr_49_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_49_15_28) alloc) (forall ((?s_35_ Int)) (=> (not (= ?s_35_ null)) (not (= (S_select expr_49_15_28 ?s_35_) null)))) (= tryClause_pre_59_17_28 tryClause_59_17_28) (= tryClause_59_17_28 (asField tryClause_59_17_28 T_javafe_ast_Stmt)) (< (fClosedTime tryClause_59_17_28) alloc) (forall ((?s_36_ Int)) (=> (not (= ?s_36_ null)) (not (= (S_select tryClause_59_17_28 ?s_36_) null)))) (= NULLTYPE_pre_31_34_26 NULLTYPE_31_34_26) (= true_term (is NULLTYPE_31_34_26 T_int)) (= count_pre_69_67_33 count_69_67_33) (= count_69_67_33 (asField count_69_67_33 T_int)) (= ONDEMANDIMPORTDECL_pre_33_16_7 ONDEMANDIMPORTDECL_33_16_7) (= true_term (is ONDEMANDIMPORTDECL_33_16_7 T_int)) (= els_pre_51_19_28 els_51_19_28) (= els_51_19_28 (asField els_51_19_28 T_javafe_ast_Stmt)) (< (fClosedTime els_51_19_28) alloc) (forall ((?s_37_ Int)) (=> (not (= ?s_37_ null)) (not (= (S_select els_51_19_28 ?s_37_) null)))) (= loc_pre_50_20_13 loc_50_20_13) (= loc_50_20_13 (asField loc_50_20_13 T_int)) (= count_pre_154_67_33 count_154_67_33) (= count_154_67_33 (asField count_154_67_33 T_int)) (= locCloseBrace_pre_45_25_13 locCloseBrace_45_25_13) (= locCloseBrace_45_25_13 (asField locCloseBrace_45_25_13 T_int)) (= TRYCATCHSTMT_pre_33_43_7 TRYCATCHSTMT_33_43_7) (= true_term (is TRYCATCHSTMT_33_43_7 T_int)) (= locType_pre_75_21_13 locType_75_21_13) (= locType_75_21_13 (asField locType_75_21_13 T_int)) (= DIV_pre_32_35_26 DIV_32_35_26) (= true_term (is DIV_32_35_26 T_int)) (= loc_pre_44_18_13 loc_44_18_13) (= loc_44_18_13 (asField loc_44_18_13 T_int)) (= VOIDTYPE_pre_31_33_26 VOIDTYPE_31_33_26) (= true_term (is VOIDTYPE_31_33_26 T_int)) (= catchClauses_pre_60_20_38 catchClauses_60_20_38) (= catchClauses_60_20_38 (asField catchClauses_60_20_38 T_javafe_ast_CatchClauseVec)) (< (fClosedTime catchClauses_60_20_38) alloc) (forall ((?s_38_ Int)) (=> (not (= ?s_38_ null)) (not (= (S_select catchClauses_60_20_38 ?s_38_) null)))) (= SINGLETYPEIMPORTDECL_pre_33_15_7 SINGLETYPEIMPORTDECL_33_15_7) (= true_term (is SINGLETYPEIMPORTDECL_33_15_7 T_int)) (= lexicalPragmas_pre_6_23_26 lexicalPragmas_6_23_26) (= lexicalPragmas_6_23_26 (asField lexicalPragmas_6_23_26 T_javafe_ast_LexicalPragmaVec)) (< (fClosedTime lexicalPragmas_6_23_26) alloc) (= count_pre_38_67_33 count_38_67_33) (= count_38_67_33 (asField count_38_67_33 T_int)) (= loc_pre_40_18_13 loc_40_18_13) (= loc_40_18_13 (asField loc_40_18_13 T_int)) (= elements_pre_26_61_43 elements_26_61_43) (= elements_26_61_43 (asField elements_26_61_43 (array T_javafe_ast_ModifierPragma))) (< (fClosedTime elements_26_61_43) alloc) (forall ((?s_39_ Int)) (=> (not (= ?s_39_ null)) (not (= (S_select elements_26_61_43 ?s_39_) null)))) (= TRYFINALLYSTMT_pre_33_42_7 TRYFINALLYSTMT_33_42_7) (= true_term (is TRYFINALLYSTMT_33_42_7 T_int)) (= index_pre_86_17_28 index_86_17_28) (= index_86_17_28 (asField index_86_17_28 T_javafe_ast_Expr)) (< (fClosedTime index_86_17_28) alloc) (forall ((?s_40_ Int)) (=> (not (= ?s_40_ null)) (not (= (S_select index_86_17_28 ?s_40_) null)))) (= SUB_pre_32_34_26 SUB_32_34_26) (= true_term (is SUB_32_34_26 T_int)) (= expr_pre_94_29_28 expr_94_29_28) (= expr_94_29_28 (asField expr_94_29_28 T_javafe_ast_Expr)) (< (fClosedTime expr_94_29_28) alloc) (forall ((?s_41_ Int)) (=> (not (= ?s_41_ null)) (not (= (S_select expr_94_29_28 ?s_41_) null)))) (= locCloseBrace_pre_83_24_13 locCloseBrace_83_24_13) (= locCloseBrace_83_24_13 (asField locCloseBrace_83_24_13 T_int)) (= loc_pre_62_22_13 loc_62_22_13) (= loc_62_22_13 (asField loc_62_22_13 T_int)) (= locId_pre_98_24_13 locId_98_24_13) (= locId_98_24_13 (asField locId_98_24_13 T_int)) (= DOUBLETYPE_pre_31_32_26 DOUBLETYPE_31_32_26) (= true_term (is DOUBLETYPE_31_32_26 T_int)) (= MIN_VALUE_pre_105_39_30 MIN_VALUE_105_39_30) (= true_term (is MIN_VALUE_105_39_30 T_int)) (= COMPILATIONUNIT_pre_33_14_7 COMPILATIONUNIT_33_14_7) (= true_term (is COMPILATIONUNIT_33_14_7 T_int)) (= thn_pre_51_17_28 thn_51_17_28) (= thn_51_17_28 (asField thn_51_17_28 T_javafe_ast_Stmt)) (< (fClosedTime thn_51_17_28) alloc) (forall ((?s_42_ Int)) (=> (not (= ?s_42_ null)) (not (= (S_select thn_51_17_28 ?s_42_) null)))) (= elemType_pre_81_18_28 elemType_81_18_28) (= elemType_81_18_28 (asField elemType_81_18_28 T_javafe_ast_Type)) (< (fClosedTime elemType_81_18_28) alloc) (forall ((?s_43_ Int)) (=> (not (= ?s_43_ null)) (not (= (S_select elemType_81_18_28 ?s_43_) null)))) (= pkgName_pre_6_21_14 pkgName_6_21_14) (= pkgName_6_21_14 (asField pkgName_6_21_14 T_javafe_ast_Name)) (< (fClosedTime pkgName_6_21_14) alloc) (= enclosingInstance_pre_70_37_14 enclosingInstance_70_37_14) (= enclosingInstance_70_37_14 (asField enclosingInstance_70_37_14 T_javafe_ast_Expr)) (< (fClosedTime enclosingInstance_70_37_14) alloc) (= locId_pre_24_48_13 locId_24_48_13) (= locId_24_48_13 (asField locId_24_48_13 T_int)) (= elements_pre_84_61_36 elements_84_61_36) (= elements_84_61_36 (asField elements_84_61_36 (array T_javafe_ast_VarInit))) (< (fClosedTime elements_84_61_36) alloc) (forall ((?s_44_ Int)) (=> (not (= ?s_44_ null)) (not (= (S_select elements_84_61_36 ?s_44_) null)))) (= SWITCHLABEL_pre_33_41_7 SWITCHLABEL_33_41_7) (= true_term (is SWITCHLABEL_33_41_7 T_int)) (= ADD_pre_32_33_26 ADD_32_33_26) (= true_term (is ADD_32_33_26 T_int)) (= locDots_pre_163_31_29 locDots_163_31_29) (= locDots_163_31_29 (asField locDots_163_31_29 ?v_0)) (< (fClosedTime locDots_163_31_29) alloc) (forall ((?s_45_ Int)) (=> (not (= ?s_45_ null)) (not (= (S_select locDots_163_31_29 ?s_45_) null)))) (= typeName_pre_19_15_32 typeName_19_15_32) (= typeName_19_15_32 (asField typeName_19_15_32 T_javafe_ast_TypeName)) (< (fClosedTime typeName_19_15_32) alloc) (forall ((?s_46_ Int)) (=> (not (= ?s_46_ null)) (not (= (S_select typeName_19_15_32 ?s_46_) null)))) (= type_pre_88_24_28 type_88_24_28) (= type_88_24_28 (asField type_88_24_28 T_javafe_ast_Type)) (< (fClosedTime type_88_24_28) alloc) (forall ((?s_47_ Int)) (=> (not (= ?s_47_ null)) (not (= (S_select type_88_24_28 ?s_47_) null)))) (= type_pre_103_27_28 type_103_27_28) (= type_103_27_28 (asField type_103_27_28 T_javafe_ast_Type)) (< (fClosedTime type_103_27_28) alloc) (forall ((?s_48_ Int)) (=> (not (= ?s_48_ null)) (not (= (S_select type_103_27_28 ?s_48_) null)))) (= FLOATTYPE_pre_31_31_26 FLOATTYPE_31_31_26) (= true_term (is FLOATTYPE_31_31_26 T_int)) (= name_pre_20_18_28 name_20_18_28) (= name_20_18_28 (asField name_20_18_28 T_javafe_ast_Name)) (< (fClosedTime name_20_18_28) alloc) (forall ((?s_49_ Int)) (=> (not (= ?s_49_ null)) (not (= (S_select name_20_18_28 ?s_49_) null)))) (= locId_pre_76_43_13 locId_76_43_13) (= locId_76_43_13 (asField locId_76_43_13 T_int)) (= otherStrings_pre_119_193_30 otherStrings_119_193_30) (= true_term (is otherStrings_119_193_30 ?v_1)) (= true_term (isAllocated otherStrings_119_193_30 alloc)) (= stmt_pre_50_17_28 stmt_50_17_28) (= stmt_50_17_28 (asField stmt_50_17_28 T_javafe_ast_Stmt)) (< (fClosedTime stmt_50_17_28) alloc) (forall ((?s_50_ Int)) (=> (not (= ?s_50_ null)) (not (= (S_select stmt_50_17_28 ?s_50_) null)))) (= tryClause_pre_60_18_28 tryClause_60_18_28) (= tryClause_60_18_28 (asField tryClause_60_18_28 T_javafe_ast_Stmt)) (< (fClosedTime tryClause_60_18_28) alloc) (forall ((?s_51_ Int)) (=> (not (= ?s_51_ null)) (not (= (S_select tryClause_60_18_28 ?s_51_) null)))) (= elements_pre_46_61_33 elements_46_61_33) (= elements_46_61_33 (asField elements_46_61_33 (array T_javafe_ast_Stmt))) (< (fClosedTime elements_46_61_33) alloc) (forall ((?s_52_ Int)) (=> (not (= ?s_52_ null)) (not (= (S_select elements_46_61_33 ?s_52_) null)))) (= array_pre_86_15_28 array_86_15_28) (= array_86_15_28 (asField array_86_15_28 T_javafe_ast_Expr)) (< (fClosedTime array_86_15_28) alloc) (forall ((?s_53_ Int)) (=> (not (= ?s_53_ null)) (not (= (S_select array_86_15_28 ?s_53_) null)))) (= SKIPSTMT_pre_33_40_7 SKIPSTMT_33_40_7) (= true_term (is SKIPSTMT_33_40_7 T_int)) (= locOpenBrace_pre_45_22_13 locOpenBrace_45_22_13) (= locOpenBrace_45_22_13 (asField locOpenBrace_45_22_13 T_int)) (= op_pre_94_26_13 op_94_26_13) (= op_94_26_13 (asField op_94_26_13 T_int)) (= URSHIFT_pre_32_32_26 URSHIFT_32_32_26) (= true_term (is URSHIFT_32_32_26 T_int)) (= expr_pre_44_15_28 expr_44_15_28) (= expr_44_15_28 (asField expr_44_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_44_15_28) alloc) (forall ((?s_54_ Int)) (=> (not (= ?s_54_ null)) (not (= (S_select expr_44_15_28 ?s_54_) null)))) (= locOpenBrackets_pre_88_65_29 locOpenBrackets_88_65_29) (= locOpenBrackets_88_65_29 (asField locOpenBrackets_88_65_29 ?v_0)) (< (fClosedTime locOpenBrackets_88_65_29) alloc) (forall ((?s_55_ Int)) (=> (not (= ?s_55_ null)) (not (= (S_select locOpenBrackets_88_65_29 ?s_55_) null)))) (= COMPOUNDNAME_pre_33_67_7 COMPOUNDNAME_33_67_7) (= true_term (is COMPOUNDNAME_33_67_7 T_int)) (= CHARTYPE_pre_31_30_26 CHARTYPE_31_30_26) (= true_term (is CHARTYPE_31_30_26 T_int)) (= locId_pre_64_38_13 locId_64_38_13) (= locId_64_38_13 (asField locId_64_38_13 T_int)) (= expr_pre_51_15_28 expr_51_15_28) (= expr_51_15_28 (asField expr_51_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_51_15_28) alloc) (forall ((?s_56_ Int)) (=> (not (= ?s_56_ null)) (not (= (S_select expr_51_15_28 ?s_56_) null)))) (= expr_pre_40_15_14 expr_40_15_14) (= expr_40_15_14 (asField expr_40_15_14 T_javafe_ast_Expr)) (< (fClosedTime expr_40_15_14) alloc) (= FORSTMT_pre_33_39_7 FORSTMT_33_39_7) (= true_term (is FORSTMT_33_39_7 T_int)) (= RSHIFT_pre_32_31_26 RSHIFT_32_31_26) (= true_term (is RSHIFT_32_31_26 T_int)) (= body_pre_62_19_33 body_62_19_33) (= body_62_19_33 (asField body_62_19_33 T_javafe_ast_BlockStmt)) (< (fClosedTime body_62_19_33) alloc) (forall ((?s_57_ Int)) (=> (not (= ?s_57_ null)) (not (= (S_select body_62_19_33 ?s_57_) null)))) (= locOpenBrace_pre_83_21_13 locOpenBrace_83_21_13) (= locOpenBrace_83_21_13 (asField locOpenBrace_83_21_13 T_int)) (= id_pre_98_21_34 id_98_21_34) (= id_98_21_34 (asField id_98_21_34 T_javafe_ast_Identifier)) (< (fClosedTime id_98_21_34) alloc) (forall ((?s_58_ Int)) (=> (not (= ?s_58_ null)) (not (= (S_select id_98_21_34 ?s_58_) null)))) (= block_pre_74_28_33 block_74_28_33) (= block_74_28_33 (asField block_74_28_33 T_javafe_ast_BlockStmt)) (< (fClosedTime block_74_28_33) alloc) (forall ((?s_59_ Int)) (=> (not (= ?s_59_ null)) (not (= (S_select block_74_28_33 ?s_59_) null)))) (= SIMPLENAME_pre_33_66_7 SIMPLENAME_33_66_7) (= true_term (is SIMPLENAME_33_66_7 T_int)) (= LONGTYPE_pre_31_29_26 LONGTYPE_31_29_26) (= true_term (is LONGTYPE_31_29_26 T_int)) (= expr_pre_50_15_28 expr_50_15_28) (= expr_50_15_28 (asField expr_50_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_50_15_28) alloc) (forall ((?s_60_ Int)) (=> (not (= ?s_60_ null)) (not (= (S_select expr_50_15_28 ?s_60_) null)))) (= locColon_pre_89_25_13 locColon_89_25_13) (= locColon_89_25_13 (asField locColon_89_25_13 T_int)) (= superClass_pre_34_15_18 superClass_34_15_18) (= superClass_34_15_18 (asField superClass_34_15_18 T_javafe_ast_TypeName)) (< (fClosedTime superClass_34_15_18) alloc) (= returnType_pre_75_18_28 returnType_75_18_28) (= returnType_75_18_28 (asField returnType_75_18_28 T_javafe_ast_Type)) (< (fClosedTime returnType_75_18_28) alloc) (forall ((?s_61_ Int)) (=> (not (= ?s_61_ null)) (not (= (S_select returnType_75_18_28 ?s_61_) null)))) (= IFSTMT_pre_33_38_7 IFSTMT_33_38_7) (= true_term (is IFSTMT_33_38_7 T_int)) (= elements_pre_61_61_40 elements_61_61_40) (= elements_61_61_40 (asField elements_61_61_40 (array T_javafe_ast_CatchClause))) (< (fClosedTime elements_61_61_40) alloc) (forall ((?s_62_ Int)) (=> (not (= ?s_62_ null)) (not (= (S_select elements_61_61_40 ?s_62_) null)))) (= LSHIFT_pre_32_30_26 LSHIFT_32_30_26) (= true_term (is LSHIFT_32_30_26 T_int)) (= self_pre_4_41_37 self_4_41_37) (= self_4_41_37 (asField self_4_41_37 T_javafe_ast_PrettyPrint)) (< (fClosedTime self_4_41_37) alloc) (forall ((?s_63_ Int)) (=> (not (= ?s_63_ null)) (not (= (S_select self_4_41_37 ?s_63_) null)))) (= loc_pre_24_45_13 loc_24_45_13) (= loc_24_45_13 (asField loc_24_45_13 T_int)) (= ARRAYTYPE_pre_33_65_7 ARRAYTYPE_33_65_7) (= true_term (is ARRAYTYPE_33_65_7 T_int)) (= INTTYPE_pre_31_28_26 INTTYPE_31_28_26) (= true_term (is INTTYPE_31_28_26 T_int)) (= POSTFIXDEC_pre_32_63_26 POSTFIXDEC_32_63_26) (= true_term (is POSTFIXDEC_32_63_26 T_int)) (= locOpenParen_pre_87_52_13 locOpenParen_87_52_13) (= locOpenParen_87_52_13 (asField locOpenParen_87_52_13 T_int)) (= elements_pre_35_61_37 elements_35_61_37) (= elements_35_61_37 (asField elements_35_61_37 (array T_javafe_ast_TypeName))) (< (fClosedTime elements_35_61_37) alloc) (forall ((?s_64_ Int)) (=> (not (= ?s_64_ null)) (not (= (S_select elements_35_61_37 ?s_64_) null)))) (= loc_pre_76_40_13 loc_76_40_13) (= loc_76_40_13 (asField loc_76_40_13 T_int)) (= LABELSTMT_pre_33_37_7 LABELSTMT_33_37_7) (= true_term (is LABELSTMT_33_37_7 T_int)) (= stmts_pre_45_19_31 stmts_45_19_31) (= stmts_45_19_31 (asField stmts_45_19_31 T_javafe_ast_StmtVec)) (< (fClosedTime stmts_45_19_31) alloc) (forall ((?s_65_ Int)) (=> (not (= ?s_65_ null)) (not (= (S_select stmts_45_19_31 ?s_65_) null)))) (= LT_pre_32_29_26 LT_32_29_26) (= true_term (is LT_32_29_26 T_int)) (= arg_pre_62_17_38 arg_62_17_38) (= arg_62_17_38 (asField arg_62_17_38 T_javafe_ast_FormalParaDecl)) (< (fClosedTime arg_62_17_38) alloc) (forall ((?s_66_ Int)) (=> (not (= ?s_66_ null)) (not (= (S_select arg_62_17_38 ?s_66_) null)))) (= od_pre_98_19_40 od_98_19_40) (= od_98_19_40 (asField od_98_19_40 T_javafe_ast_ObjectDesignator)) (< (fClosedTime od_98_19_40) alloc) (forall ((?s_67_ Int)) (=> (not (= ?s_67_ null)) (not (= (S_select od_98_19_40 ?s_67_) null)))) (= pmodifiers_pre_74_26_27 pmodifiers_74_26_27) (= pmodifiers_74_26_27 (asField pmodifiers_74_26_27 T_javafe_ast_ModifierPragmaVec)) (< (fClosedTime pmodifiers_74_26_27) alloc) (= elements_pre_77_61_43 elements_77_61_43) (= elements_77_61_43 (asField elements_77_61_43 (array T_javafe_ast_FormalParaDecl))) (< (fClosedTime elements_77_61_43) alloc) (forall ((?s_68_ Int)) (=> (not (= ?s_68_ null)) (not (= (S_select elements_77_61_43 ?s_68_) null)))) (= TYPENAME_pre_33_64_7 TYPENAME_33_64_7) (= true_term (is TYPENAME_33_64_7 T_int)) (= BOOLEANTYPE_pre_31_27_26 BOOLEANTYPE_31_27_26) (= true_term (is BOOLEANTYPE_31_27_26 T_int)) (= POSTFIXINC_pre_32_62_26 POSTFIXINC_32_62_26) (= true_term (is POSTFIXINC_32_62_26 T_int)) (= type_pre_64_35_28 type_64_35_28) (= type_64_35_28 (asField type_64_35_28 T_javafe_ast_Type)) (< (fClosedTime type_64_35_28) alloc) (forall ((?s_69_ Int)) (=> (not (= ?s_69_ null)) (not (= (S_select type_64_35_28 ?s_69_) null)))) (= init_pre_67_19_17 init_67_19_17) (= init_67_19_17 (asField init_67_19_17 T_javafe_ast_VarInit)) (< (fClosedTime init_67_19_17) alloc) (= modifiers_pre_74_24_13 modifiers_74_24_13) (= modifiers_74_24_13 (asField modifiers_74_24_13 T_int)) (= CONTINUESTMT_pre_33_36_7 CONTINUESTMT_33_36_7) (= true_term (is CONTINUESTMT_33_36_7 T_int)) (= LE_pre_32_28_26 LE_32_28_26) (= true_term (is LE_32_28_26 T_int)) (= elems_pre_83_18_34 elems_83_18_34) (= elems_83_18_34 (asField elems_83_18_34 T_javafe_ast_VarInitVec)) (< (fClosedTime elems_83_18_34) alloc) (forall ((?s_70_ Int)) (=> (not (= ?s_70_ null)) (not (= (S_select elems_83_18_34 ?s_70_) null)))) (= SUPEROBJECTDESIGNATOR_pre_33_63_7 SUPEROBJECTDESIGNATOR_33_63_7) (= true_term (is SUPEROBJECTDESIGNATOR_33_63_7 T_int)) (= parent_pre_74_22_18 parent_74_22_18) (= parent_74_22_18 (asField parent_74_22_18 T_javafe_ast_TypeDecl)) (< (fClosedTime parent_74_22_18) alloc) (= IDENT_pre_31_25_26 IDENT_31_25_26) (= true_term (is IDENT_31_25_26 T_int)) (= DEC_pre_32_59_26 DEC_32_59_26) (= true_term (is DEC_32_59_26 T_int)) (= elements_pre_143_61_39 elements_143_61_39) (= elements_143_61_39 (asField elements_143_61_39 (array T_javafe_ast_Identifier))) (< (fClosedTime elements_143_61_39) alloc) (forall ((?s_71_ Int)) (=> (not (= ?s_71_ null)) (not (= (S_select elements_143_61_39 ?s_71_) null)))) (= count_pre_82_67_33 count_82_67_33) (= count_82_67_33 (asField count_82_67_33 T_int)) (= loc_pre_47_29_13 loc_47_29_13) (= loc_47_29_13 (asField loc_47_29_13 T_int)) (= tokenType_pre_37_90_8 tokenType_37_90_8) (= tokenType_37_90_8 (asField tokenType_37_90_8 T_int)) (= BREAKSTMT_pre_33_35_7 BREAKSTMT_33_35_7) (= true_term (is BREAKSTMT_33_35_7 T_int)) (= loc_pre_43_18_13 loc_43_18_13) (= loc_43_18_13 (asField loc_43_18_13 T_int)) (= locQuestion_pre_89_22_13 locQuestion_89_22_13) (= locQuestion_89_22_13 (asField locQuestion_89_22_13 T_int)) (= GT_pre_32_27_26 GT_32_27_26) (= true_term (is GT_32_27_26 T_int)) (= elems_pre_24_41_39 elems_24_41_39) (= elems_24_41_39 (asField elems_24_41_39 T_javafe_ast_TypeDeclElemVec)) (< (fClosedTime elems_24_41_39) alloc) (forall ((?s_72_ Int)) (=> (not (= ?s_72_ null)) (not (= (S_select elems_24_41_39 ?s_72_) null)))) (= TYPEOBJECTDESIGNATOR_pre_33_62_7 TYPEOBJECTDESIGNATOR_33_62_7) (= true_term (is TYPEOBJECTDESIGNATOR_33_62_7 T_int)) (= INC_pre_32_58_26 INC_32_58_26) (= true_term (is INC_32_58_26 T_int)) (= loc_pre_87_49_13 loc_87_49_13) (= loc_87_49_13 (asField loc_87_49_13 T_int)) (= count_pre_17_67_33 count_17_67_33) (= count_17_67_33 (asField count_17_67_33 T_int)) (= id_pre_75_15_34 id_75_15_34) (= id_75_15_34 (asField id_75_15_34 T_javafe_ast_Identifier)) (< (fClosedTime id_75_15_34) alloc) (forall ((?s_73_ Int)) (=> (not (= ?s_73_ null)) (not (= (S_select id_75_15_34 ?s_73_) null)))) (= THROWSTMT_pre_33_34_7 THROWSTMT_33_34_7) (= true_term (is THROWSTMT_33_34_7 T_int)) (= superCall_pre_70_24_17 superCall_70_24_17) (= superCall_70_24_17 (asField superCall_70_24_17 T_boolean)) (= GE_pre_32_26_26 GE_32_26_26) (= true_term (is GE_32_26_26 T_int)) (= decl_pre_97_26_38 decl_97_26_38) (= decl_97_26_38 (asField decl_97_26_38 T_javafe_ast_GenericVarDecl)) (< (fClosedTime decl_97_26_38) alloc) (forall ((?s_74_ Int)) (=> (not (= ?s_74_ null)) (not (= (S_select decl_97_26_38 ?s_74_) null)))) (= EXPROBJECTDESIGNATOR_pre_33_61_7 EXPROBJECTDESIGNATOR_33_61_7) (= true_term (is EXPROBJECTDESIGNATOR_33_61_7 T_int)) (= BITNOT_pre_32_57_26 BITNOT_32_57_26) (= true_term (is BITNOT_32_57_26 T_int)) (= count_pre_155_67_33 count_155_67_33) (= count_155_67_33 (asField count_155_67_33 T_int)) (= RETURNSTMT_pre_33_33_7 RETURNSTMT_33_33_7) (= true_term (is RETURNSTMT_33_33_7 T_int)) (= punctuationCodes_pre_119_164_19 punctuationCodes_119_164_19) (= true_term (is punctuationCodes_119_164_19 ?v_0)) (= true_term (isAllocated punctuationCodes_119_164_19 alloc)) (= count_pre_14_67_33 count_14_67_33) (= count_14_67_33 (asField count_14_67_33 T_int)) (= punctuationStrings_pre_119_134_22 punctuationStrings_119_134_22) (= true_term (is punctuationStrings_119_134_22 ?v_1)) (= true_term (isAllocated punctuationStrings_119_134_22 alloc)) (= EQ_pre_32_25_26 EQ_32_25_26) (= true_term (is EQ_32_25_26 T_int)) (= loc_pre_53_18_13 loc_53_18_13) (= loc_53_18_13 (asField loc_53_18_13 T_int)) (= locDot_pre_99_21_13 locDot_99_21_13) (= locDot_99_21_13 (asField locDot_99_21_13 T_int)) (= CLASSLITERAL_pre_33_60_7 CLASSLITERAL_33_60_7) (= true_term (is CLASSLITERAL_33_60_7 T_int)) (= locSuper_pre_161_20_13 locSuper_161_20_13) (= locSuper_161_20_13 (asField locSuper_161_20_13 T_int)) (= NOT_pre_32_56_26 NOT_32_56_26) (= true_term (is NOT_32_56_26 T_int)) (= loc_pre_160_16_13 loc_160_16_13) (= loc_160_16_13 (asField loc_160_16_13 T_int)) (= locCloseParen_pre_91_24_13 locCloseParen_91_24_13) (= locCloseParen_91_24_13 (asField locCloseParen_91_24_13 T_int)) (= args_pre_100_32_31 args_100_32_31) (= args_100_32_31 (asField args_100_32_31 T_javafe_ast_ExprVec)) (< (fClosedTime args_100_32_31) alloc) (forall ((?s_75_ Int)) (=> (not (= ?s_75_ null)) (not (= (S_select args_100_32_31 ?s_75_) null)))) (= decl_pre_101_34_20 decl_101_34_20) (= decl_101_34_20 (asField decl_101_34_20 T_javafe_ast_MethodDecl)) (< (fClosedTime decl_101_34_20) alloc) (= id_pre_64_32_34 id_64_32_34) (= id_64_32_34 (asField id_64_32_34 T_javafe_ast_Identifier)) (< (fClosedTime id_64_32_34) alloc) (forall ((?s_76_ Int)) (=> (not (= ?s_76_ null)) (not (= (S_select id_64_32_34 ?s_76_) null)))) (= expr_pre_47_26_14 expr_47_26_14) (= expr_47_26_14 (asField expr_47_26_14 T_javafe_ast_Expr)) (< (fClosedTime expr_47_26_14) alloc) (= decl_pre_66_15_36 decl_66_15_36) (= decl_66_15_36 (asField decl_66_15_36 T_javafe_ast_LocalVarDecl)) (< (fClosedTime decl_66_15_36) alloc) (forall ((?s_77_ Int)) (=> (not (= ?s_77_ null)) (not (= (S_select decl_66_15_36 ?s_77_) null)))) (= locOpenParen_pre_55_23_13 locOpenParen_55_23_13) (= locOpenParen_55_23_13 (asField locOpenParen_55_23_13 T_int)) (= EVALSTMT_pre_33_32_7 EVALSTMT_33_32_7) (= true_term (is EVALSTMT_33_32_7 T_int)) (= elements_pre_69_61_33 elements_69_61_33) (= elements_69_61_33 (asField elements_69_61_33 (array T_javafe_ast_Expr))) (< (fClosedTime elements_69_61_33) alloc) (forall ((?s_78_ Int)) (=> (not (= ?s_78_ null)) (not (= (S_select elements_69_61_33 ?s_78_) null)))) (= owner_pre_5_35_28 owner_5_35_28) (= owner_5_35_28 (asField owner_5_35_28 T_java_lang_Object)) (< (fClosedTime owner_5_35_28) alloc) (= expr_pre_43_15_28 expr_43_15_28) (= expr_43_15_28 (asField expr_43_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_43_15_28) alloc) (forall ((?s_79_ Int)) (=> (not (= ?s_79_ null)) (not (= (S_select expr_43_15_28 ?s_79_) null)))) (= NE_pre_32_24_26 NE_32_24_26) (= true_term (is NE_32_24_26 T_int)) (= locId_pre_58_20_13 locId_58_20_13) (= locId_58_20_13 (asField locId_58_20_13 T_int)) (= elements_pre_154_61_38 elements_154_61_38) (= elements_154_61_38 (asField elements_154_61_38 (array T_javafe_ast_FieldDecl))) (< (fClosedTime elements_154_61_38) alloc) (forall ((?s_80_ Int)) (=> (not (= ?s_80_ null)) (not (= (S_select elements_154_61_38 ?s_80_) null)))) (= METHODINVOCATION_pre_33_59_7 METHODINVOCATION_33_59_7) (= true_term (is METHODINVOCATION_33_59_7 T_int)) (= els_pre_89_19_28 els_89_19_28) (= els_89_19_28 (asField els_89_19_28 T_javafe_ast_Expr)) (< (fClosedTime els_89_19_28) alloc) (forall ((?s_81_ Int)) (=> (not (= ?s_81_ null)) (not (= (S_select els_89_19_28 ?s_81_) null)))) (= LAST_KEYWORD_pre_119_103_26 LAST_KEYWORD_119_103_26) (= true_term (is LAST_KEYWORD_119_103_26 T_int)) (= UNARYSUB_pre_32_55_26 UNARYSUB_32_55_26) (= true_term (is UNARYSUB_32_55_26 T_int)) (= MIN_VALUE_pre_107_38_29 MIN_VALUE_107_38_29) (= true_term (is MIN_VALUE_107_38_29 T_long)) (= anonDecl_pre_87_45_19 anonDecl_87_45_19) (= anonDecl_87_45_19 (asField anonDecl_87_45_19 T_javafe_ast_ClassDecl)) (< (fClosedTime anonDecl_87_45_19) alloc) (= count_pre_23_67_33 count_23_67_33) (= count_23_67_33 (asField count_23_67_33 T_int)) (= loc_pre_18_18_13 loc_18_18_13) (= loc_18_18_13 (asField loc_18_18_13 T_int)) (= superInterfaces_pre_24_34_35 superInterfaces_24_34_35) (= superInterfaces_24_34_35 (asField superInterfaces_24_34_35 T_javafe_ast_TypeNameVec)) (< (fClosedTime superInterfaces_24_34_35) alloc) (forall ((?s_82_ Int)) (=> (not (= ?s_82_ null)) (not (= (S_select superInterfaces_24_34_35 ?s_82_) null)))) (= keywordStrings_pre_119_181_30 keywordStrings_119_181_30) (= true_term (is keywordStrings_119_181_30 ?v_1)) (= true_term (isAllocated keywordStrings_119_181_30 alloc)) (= locOpenBrace_pre_76_36_13 locOpenBrace_76_36_13) (= locOpenBrace_76_36_13 (asField locOpenBrace_76_36_13 T_int)) (= SYNCHRONIZESTMT_pre_33_31_7 SYNCHRONIZESTMT_33_31_7) (= true_term (is SYNCHRONIZESTMT_33_31_7 T_int)) (= NULL_pre_119_82_26 NULL_119_82_26) (= true_term (is NULL_119_82_26 T_int)) (= BITAND_pre_32_23_26 BITAND_32_23_26) (= true_term (is BITAND_32_23_26 T_int)) (= count_pre_29_99_33 count_29_99_33) (= count_29_99_33 (asField count_29_99_33 T_int)) (= elements_pre_38_61_41 elements_38_61_41) (= elements_38_61_41 (asField elements_38_61_41 (array T_javafe_ast_TypeDeclElem))) (< (fClosedTime elements_38_61_41) alloc) (forall ((?s_83_ Int)) (=> (not (= ?s_83_ null)) (not (= (S_select elements_38_61_41 ?s_83_) null)))) (= body_pre_76_34_19 body_76_34_19) (= body_76_34_19 (asField body_76_34_19 T_javafe_ast_BlockStmt)) (< (fClosedTime body_76_34_19) alloc) (= AMBIGUOUSMETHODINVOCATION_pre_33_58_7 AMBIGUOUSMETHODINVOCATION_33_58_7) (= true_term (is AMBIGUOUSMETHODINVOCATION_33_58_7 T_int)) (= UNARYADD_pre_32_54_26 UNARYADD_32_54_26) (= true_term (is UNARYADD_32_54_26 T_int)) (= pmodifiers_pre_64_30_27 pmodifiers_64_30_27) (= pmodifiers_64_30_27 (asField pmodifiers_64_30_27 T_javafe_ast_ModifierPragmaVec)) (< (fClosedTime pmodifiers_64_30_27) alloc) (= locOpenParen_pre_100_30_13 locOpenParen_100_30_13) (= locOpenParen_100_30_13 (asField locOpenParen_100_30_13 T_int)) (= locFirstSemi_pre_68_36_13 locFirstSemi_68_36_13) (= locFirstSemi_68_36_13 (asField locFirstSemi_68_36_13 T_int)) (= loc_pre_117_35_13 loc_117_35_13) (= loc_117_35_13 (asField loc_117_35_13 T_int)) (= raises_pre_76_32_35 raises_76_32_35) (= raises_76_32_35 (asField raises_76_32_35 T_javafe_ast_TypeNameVec)) (< (fClosedTime raises_76_32_35) alloc) (forall ((?s_84_ Int)) (=> (not (= ?s_84_ null)) (not (= (S_select raises_76_32_35 ?s_84_) null)))) (= inst_pre_4_29_44 inst_4_29_44) (= true_term (is inst_4_29_44 T_javafe_ast_PrettyPrint)) (= true_term (isAllocated inst_4_29_44 alloc)) (not (= inst_4_29_44 null)) (= DOSTMT_pre_33_30_7 DOSTMT_33_30_7) (= true_term (is DOSTMT_33_30_7 T_int)) (= expr_pre_57_15_28 expr_57_15_28) (= expr_57_15_28 (asField expr_57_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_57_15_28) alloc) (forall ((?s_85_ Int)) (=> (not (= ?s_85_ null)) (not (= (S_select expr_57_15_28 ?s_85_) null)))) (= BITXOR_pre_32_22_26 BITXOR_32_22_26) (= true_term (is BITXOR_32_22_26 T_int)) (= modifiers_pre_64_28_13 modifiers_64_28_13) (= modifiers_64_28_13 (asField modifiers_64_28_13 T_int)) (= label_pre_53_15_20 label_53_15_20) (= label_53_15_20 (asField label_53_15_20 T_javafe_ast_Identifier)) (< (fClosedTime label_53_15_20) alloc) (= FIELDACCESS_pre_33_57_7 FIELDACCESS_33_57_7) (= true_term (is FIELDACCESS_33_57_7 T_int)) (= thn_pre_89_17_28 thn_89_17_28) (= thn_89_17_28 (asField thn_89_17_28 T_javafe_ast_Expr)) (< (fClosedTime thn_89_17_28) alloc) (forall ((?s_86_ Int)) (=> (not (= ?s_86_ null)) (not (= (S_select thn_89_17_28 ?s_86_) null)))) (= ASGBITXOR_pre_32_51_26 ASGBITXOR_32_51_26) (= true_term (is ASGBITXOR_32_51_26 T_int)) (= loc_pre_97_22_13 loc_97_22_13) (= loc_97_22_13 (asField loc_97_22_13 T_int)) (= locIds_pre_163_25_29 locIds_163_25_29) (= locIds_163_25_29 (asField locIds_163_25_29 ?v_0)) (< (fClosedTime locIds_163_25_29) alloc) (forall ((?s_87_ Int)) (=> (not (= ?s_87_ null)) (not (= (S_select locIds_163_25_29 ?s_87_) null)))) (= id_pre_24_32_34 id_24_32_34) (= id_24_32_34 (asField id_24_32_34 T_javafe_ast_Identifier)) (< (fClosedTime id_24_32_34) alloc) (forall ((?s_88_ Int)) (=> (not (= ?s_88_ null)) (not (= (S_select id_24_32_34 ?s_88_) null)))) (= locOpenParen_pre_91_21_13 locOpenParen_91_21_13) (= locOpenParen_91_21_13 (asField locOpenParen_91_21_13 T_int)) (= WHILESTMT_pre_33_29_7 WHILESTMT_33_29_7) (= true_term (is WHILESTMT_33_29_7 T_int)) (= BITOR_pre_32_21_26 BITOR_32_21_26) (= true_term (is BITOR_32_21_26 T_int)) (= stmt_pre_58_17_28 stmt_58_17_28) (= stmt_58_17_28 (asField stmt_58_17_28 T_javafe_ast_Stmt)) (< (fClosedTime stmt_58_17_28) alloc) (forall ((?s_89_ Int)) (=> (not (= ?s_89_ null)) (not (= (S_select stmt_58_17_28 ?s_89_) null)))) (= args_pre_101_30_31 args_101_30_31) (= args_101_30_31 (asField args_101_30_31 T_javafe_ast_ExprVec)) (< (fClosedTime args_101_30_31) alloc) (forall ((?s_90_ Int)) (=> (not (= ?s_90_ null)) (not (= (S_select args_101_30_31 ?s_90_) null)))) (= args_pre_87_34_31 args_87_34_31) (= args_87_34_31 (asField args_87_34_31 T_javafe_ast_ExprVec)) (< (fClosedTime args_87_34_31) alloc) (forall ((?s_91_ Int)) (=> (not (= ?s_91_ null)) (not (= (S_select args_87_34_31 ?s_91_) null)))) (= VARIABLEACCESS_pre_33_56_7 VARIABLEACCESS_33_56_7) (= true_term (is VARIABLEACCESS_33_56_7 T_int)) (= NULL_pre_116_60_26 NULL_116_60_26) (= true_term (is NULL_116_60_26 T_int)) (= ASGBITOR_pre_32_50_26 ASGBITOR_32_50_26) (= true_term (is ASGBITOR_32_50_26 T_int)) (= inferred_pre_71_33_17 inferred_71_33_17) (= inferred_71_33_17 (asField inferred_71_33_17 T_boolean)) (= expr_pre_102_22_28 expr_102_22_28) (= expr_102_22_28 (asField expr_102_22_28 T_javafe_ast_Expr)) (< (fClosedTime expr_102_22_28) alloc) (forall ((?s_92_ Int)) (=> (not (= ?s_92_ null)) (not (= (S_select expr_102_22_28 ?s_92_) null)))) (= decl_pre_65_15_33 decl_65_15_33) (= decl_65_15_33 (asField decl_65_15_33 T_javafe_ast_ClassDecl)) (< (fClosedTime decl_65_15_33) alloc) (forall ((?s_93_ Int)) (=> (not (= ?s_93_ null)) (not (= (S_select decl_65_15_33 ?s_93_) null)))) (= args_pre_76_30_41 args_76_30_41) (= args_76_30_41 (asField args_76_30_41 T_javafe_ast_FormalParaDeclVec)) (< (fClosedTime args_76_30_41) alloc) (forall ((?s_94_ Int)) (=> (not (= ?s_94_ null)) (not (= (S_select args_76_30_41 ?s_94_) null)))) (= CLASSDECLSTMT_pre_33_28_7 CLASSDECLSTMT_33_28_7) (= true_term (is CLASSDECLSTMT_33_28_7 T_int)) (= test_pre_89_15_28 test_89_15_28) (= test_89_15_28 (asField test_89_15_28 T_javafe_ast_Expr)) (< (fClosedTime test_89_15_28) alloc) (forall ((?s_95_ Int)) (=> (not (= ?s_95_ null)) (not (= (S_select test_89_15_28 ?s_95_) null)))) (= AMBIGUOUSVARIABLEACCESS_pre_33_55_7 AMBIGUOUSVARIABLEACCESS_33_55_7) (= true_term (is AMBIGUOUSVARIABLEACCESS_33_55_7 T_int)) (= ASGBITAND_pre_32_49_26 ASGBITAND_32_49_26) (= true_term (is ASGBITAND_32_49_26 T_int)) (= loc_pre_88_49_13 loc_88_49_13) (= loc_88_49_13 (asField loc_88_49_13 T_int)) (= pmodifiers_pre_24_30_27 pmodifiers_24_30_27) (= pmodifiers_24_30_27 (asField pmodifiers_24_30_27 T_javafe_ast_ModifierPragmaVec)) (< (fClosedTime pmodifiers_24_30_27) alloc) (= elems_pre elems) (= elems (asElems elems)) (< (eClosedTime elems) alloc) (= LS (asLockSet LS)) (= alloc_pre alloc)) (not (and (= true_term (is this T_javafe_ast_StandardPrettyPrint)) (= true_term (isAllocated this alloc)) (not (= this null)) (= true_term (is o_1067_33 T_java_io_OutputStream)) (= true_term (isAllocated o_1067_33 alloc)) (= true_term (is lp_1067_50 T_javafe_ast_LexicalPragma)) (= true_term (isAllocated lp_1067_50 alloc)) ?v_6 ?v_2 (or ?v_8 (and ?v_2 ?v_11 ?v_3 ?v_12 ?v_13 (or (and ?v_7 (not (and ?v_6 (not (= s_1068_4_1068_4_4_381_50 null))))) (and ?v_7 ?v_14 (or ?v_8 (and ?v_2 ?v_15 ?v_16 ?v_9 ?v_17 (not (and ?v_6 ?v_10))))))) (and ?v_2 ?v_11 ?v_3 ?v_12 ?v_13 ?v_7 ?v_14 ?v_2 ?v_15 ?v_16 ?v_9 ?v_17 (= EC_1069_4_1069_4 ecReturn) (not (= ecReturn ecReturn))))))))))))))
+(check-sat)
+(exit)
diff --git a/test/regress/regress2/quantifiers/javafe.ast.WhileStmt.447.smt2 b/test/regress/regress2/quantifiers/javafe.ast.WhileStmt.447.smt2
new file mode 100644
index 000000000..9a5c07194
--- /dev/null
+++ b/test/regress/regress2/quantifiers/javafe.ast.WhileStmt.447.smt2
@@ -0,0 +1,737 @@
+(set-logic AUFLIA)
+(set-info :source | Simplify Theorem Prover Benchmark Suite |)
+(set-info :smt-lib-version 2.0)
+(set-info :category "industrial")
+(set-info :status unsat)
+(declare-fun true_term () Int)
+(declare-fun false_term () Int)
+(assert (= true_term 1))
+(assert (= false_term 0))
+(declare-fun S_select (Int Int) Int)
+(declare-fun S_store (Int Int Int) Int)
+(assert (forall ((?m Int) (?i Int) (?x Int)) (= (S_select (S_store ?m ?i ?x) ?i) ?x)))
+(assert (forall ((?m Int) (?i Int) (?j Int) (?x Int)) (=> (not (= ?i ?j)) (= (S_select (S_store ?m ?i ?x) ?j) (S_select ?m ?j)))))
+(declare-fun PO_LT (Int Int) Int)
+(assert (forall ((?t Int)) (= (PO_LT ?t ?t) true_term)))
+(declare-fun T_java_lang_Object () Int)
+(assert (= (PO_LT T_java_lang_Object T_java_lang_Object) true_term))
+(assert (forall ((?t0 Int) (?t1 Int) (?t2 Int)) (=> (and (= (PO_LT ?t0 ?t1) true_term) (= (PO_LT ?t1 ?t2) true_term)) (= (PO_LT ?t0 ?t2) true_term))))
+(assert (forall ((?t0 Int) (?t1 Int)) (=> (and (= (PO_LT ?t0 ?t1) true_term) (= (PO_LT ?t1 ?t0) true_term)) (= ?t0 ?t1))))
+(declare-fun T_boolean () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_boolean) true_term) (= ?t T_boolean))))
+(declare-fun T_char () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_char) true_term) (= ?t T_char))))
+(declare-fun T_byte () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_byte) true_term) (= ?t T_byte))))
+(declare-fun T_short () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_short) true_term) (= ?t T_short))))
+(declare-fun T_int () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_int) true_term) (= ?t T_int))))
+(declare-fun T_long () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_long) true_term) (= ?t T_long))))
+(declare-fun T_float () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_float) true_term) (= ?t T_float))))
+(declare-fun T_double () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_double) true_term) (= ?t T_double))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_boolean ?t) true_term) (= ?t T_boolean))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_char ?t) true_term) (= ?t T_char))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_byte ?t) true_term) (= ?t T_byte))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_short ?t) true_term) (= ?t T_short))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_int ?t) true_term) (= ?t T_int))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_long ?t) true_term) (= ?t T_long))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_float ?t) true_term) (= ?t T_float))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_double ?t) true_term) (= ?t T_double))))
+(declare-fun asChild (Int Int) Int)
+(declare-fun classDown (Int Int) Int)
+(assert (forall ((?t0 Int) (?t1 Int) (?t2 Int)) (let ((?v_0 (asChild ?t1 ?t2))) (=> (= (PO_LT ?t0 ?v_0) true_term) (= (classDown ?t2 ?t0) ?v_0)))))
+(declare-fun T_java_lang_Cloneable () Int)
+(assert (= (PO_LT T_java_lang_Cloneable T_java_lang_Object) true_term))
+(declare-fun array (Int) Int)
+(assert (forall ((?t Int)) (= (PO_LT (array ?t) T_java_lang_Cloneable) true_term)))
+(declare-fun elemtype (Int) Int)
+(assert (forall ((?t Int)) (= (elemtype (array ?t)) ?t)))
+(assert (forall ((?t0 Int) (?t1 Int)) (let ((?v_0 (elemtype ?t0))) (= (= (PO_LT ?t0 (array ?t1)) true_term) (and (= ?t0 (array ?v_0)) (= (PO_LT ?v_0 ?t1) true_term))))))
+(declare-fun is (Int Int) Int)
+(declare-fun cast (Int Int) Int)
+(assert (forall ((?x Int) (?t Int)) (= (is (cast ?x ?t) ?t) true_term)))
+(assert (forall ((?x Int) (?t Int)) (=> (= (is ?x ?t) true_term) (= (cast ?x ?t) ?x))))
+(assert true)
+(assert (forall ((?x Int)) (= (= (is ?x T_char) true_term) (and (<= 0 ?x) (<= ?x 65535)))))
+(assert (forall ((?x Int)) (= (= (is ?x T_byte) true_term) (and (<= (- 128) ?x) (<= ?x 127)))))
+(assert (forall ((?x Int)) (= (= (is ?x T_short) true_term) (and (<= (- 32768) ?x) (<= ?x 32767)))))
+(declare-fun intFirst () Int)
+(declare-fun intLast () Int)
+(assert (forall ((?x Int)) (= (= (is ?x T_int) true_term) (and (<= intFirst ?x) (<= ?x intLast)))))
+(declare-fun longFirst () Int)
+(declare-fun longLast () Int)
+(assert (forall ((?x Int)) (= (= (is ?x T_long) true_term) (and (<= longFirst ?x) (<= ?x longLast)))))
+(assert (< longFirst intFirst))
+(assert (< intFirst (- 1000000)))
+(assert (< 1000000 intLast))
+(assert (< intLast longLast))
+(declare-fun null () Int)
+(declare-fun typeof (Int) Int)
+(assert (forall ((?x Int) (?t Int)) (=> (= (PO_LT ?t T_java_lang_Object) true_term) (= (= (is ?x ?t) true_term) (or (= ?x null) (= (PO_LT (typeof ?x) ?t) true_term))))))
+(declare-fun asField (Int Int) Int)
+(assert (forall ((?f Int) (?t Int) (?x Int)) (= (is (S_select (asField ?f ?t) ?x) ?t) true_term)))
+(declare-fun asElems (Int) Int)
+(assert (forall ((?e Int) (?a Int) (?i Int)) (= (is (S_select (S_select (asElems ?e) ?a) ?i) (elemtype (typeof ?a))) true_term)))
+(declare-fun vAllocTime (Int) Int)
+(declare-fun isAllocated (Int Int) Int)
+(assert (forall ((?x Int) (?a0 Int)) (= (= (isAllocated ?x ?a0) true_term) (< (vAllocTime ?x) ?a0))))
+(declare-fun fClosedTime (Int) Int)
+(assert (forall ((?x Int) (?f Int) (?a0 Int)) (=> (and (< (fClosedTime ?f) ?a0) (= (isAllocated ?x ?a0) true_term)) (= (isAllocated (S_select ?f ?x) ?a0) true_term))))
+(declare-fun eClosedTime (Int) Int)
+(assert (forall ((?a Int) (?e Int) (?i Int) (?a0 Int)) (=> (and (< (eClosedTime ?e) ?a0) (= (isAllocated ?a ?a0) true_term)) (= (isAllocated (S_select (S_select ?e ?a) ?i) ?a0) true_term))))
+(declare-fun asLockSet (Int) Int)
+(declare-fun max (Int) Int)
+(assert (forall ((?S Int)) (let ((?v_0 (asLockSet ?S))) (= (S_select ?v_0 (max ?v_0)) true_term))))
+(assert (forall ((?S Int)) (= (S_select (asLockSet ?S) null) true_term)))
+(declare-fun lockLE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (lockLE ?x ?y) true_term) (<= ?x ?y))))
+(declare-fun lockLT (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (lockLT ?x ?y) true_term) (< ?x ?y))))
+(assert (forall ((?S Int) (?mu Int)) (let ((?v_0 (asLockSet ?S))) (=> (= (S_select ?v_0 ?mu) true_term) (= (lockLE ?mu (max ?v_0)) true_term)))))
+(assert (forall ((?x Int)) (=> (= (PO_LT (typeof ?x) T_java_lang_Object) true_term) (= (lockLE null ?x) true_term))))
+(declare-fun arrayLength (Int) Int)
+(assert (forall ((?a Int)) (let ((?v_0 (arrayLength ?a))) (and (<= 0 ?v_0) (= (is ?v_0 T_int) true_term)))))
+(declare-fun arrayFresh (Int Int Int Int Int Int Int) Int)
+(declare-fun arrayShapeMore (Int Int) Int)
+(declare-fun arrayParent (Int) Int)
+(declare-fun arrayPosition (Int) Int)
+(assert (forall ((?a Int) (?a0 Int) (?b0 Int) (?e Int) (?n Int) (?s Int) (?T Int) (?v Int)) (= (= (arrayFresh ?a ?a0 ?b0 ?e (arrayShapeMore ?n ?s) ?T ?v) true_term) (and (<= ?a0 (vAllocTime ?a)) (= (isAllocated ?a ?b0) true_term) (not (= ?a null)) (= (typeof ?a) ?T) (= (arrayLength ?a) ?n) (forall ((?i Int)) (let ((?v_0 (S_select (S_select ?e ?a) ?i))) (and (= (arrayFresh ?v_0 ?a0 ?b0 ?e ?s (elemtype ?T) ?v) true_term) (= (arrayParent ?v_0) ?a) (= (arrayPosition ?v_0) ?i))))))))
+(declare-fun arrayShapeOne (Int) Int)
+(assert (forall ((?a Int) (?a0 Int) (?b0 Int) (?e Int) (?n Int) (?T Int) (?v Int)) (= (= (arrayFresh ?a ?a0 ?b0 ?e (arrayShapeOne ?n) ?T ?v) true_term) (and (<= ?a0 (vAllocTime ?a)) (= (isAllocated ?a ?b0) true_term) (not (= ?a null)) (= (typeof ?a) ?T) (= (arrayLength ?a) ?n) (forall ((?i Int)) (= (S_select (S_select ?e ?a) ?i) ?v))))))
+(declare-fun arrayType () Int)
+(assert (= arrayType (asChild arrayType T_java_lang_Object)))
+(assert (forall ((?t Int)) (= (PO_LT (array ?t) arrayType) true_term)))
+(declare-fun isNewArray (Int) Int)
+(assert (forall ((?s Int)) (=> (= true_term (isNewArray ?s)) (= (PO_LT (typeof ?s) arrayType) true_term))))
+(declare-fun boolAnd (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolAnd ?a ?b) true_term) (and (= ?a true_term) (= ?b true_term)))))
+(declare-fun boolEq (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolEq ?a ?b) true_term) (= (= ?a true_term) (= ?b true_term)))))
+(declare-fun boolImplies (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolImplies ?a ?b) true_term) (=> (= ?a true_term) (= ?b true_term)))))
+(declare-fun boolNE (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolNE ?a ?b) true_term) (not (= (= ?a true_term) (= ?b true_term))))))
+(declare-fun boolNot (Int) Int)
+(assert (forall ((?a Int)) (= (= (boolNot ?a) true_term) (not (= ?a true_term)))))
+(declare-fun boolOr (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolOr ?a ?b) true_term) (or (= ?a true_term) (= ?b true_term)))))
+(declare-fun integralEQ (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralEQ ?x ?y) true_term) (= ?x ?y))))
+(declare-fun stringCat (Int Int) Int)
+(declare-fun T_java_lang_String () Int)
+(assert (forall ((?x Int) (?y Int)) (let ((?v_0 (stringCat ?x ?y))) (and (not (= ?v_0 null)) (= (PO_LT (typeof ?v_0) T_java_lang_String) true_term)))))
+(declare-fun integralGE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralGE ?x ?y) true_term) (>= ?x ?y))))
+(declare-fun integralGT (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralGT ?x ?y) true_term) (> ?x ?y))))
+(declare-fun integralLE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralLE ?x ?y) true_term) (<= ?x ?y))))
+(declare-fun integralLT (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralLT ?x ?y) true_term) (< ?x ?y))))
+(declare-fun integralNE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralNE ?x ?y) true_term) (not (= ?x ?y)))))
+(declare-fun refEQ (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (refEQ ?x ?y) true_term) (= ?x ?y))))
+(declare-fun refNE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (refNE ?x ?y) true_term) (not (= ?x ?y)))))
+(declare-fun nonnullelements (Int Int) Int)
+(assert (forall ((?x Int) (?e Int)) (= (= (nonnullelements ?x ?e) true_term) (and (not (= ?x null)) (forall ((?i Int)) (=> (and (<= 0 ?i) (< ?i (arrayLength ?x))) (not (= (S_select (S_select ?e ?x) ?i) null))))))))
+(declare-fun classLiteral (Int) Int)
+(declare-fun T_java_lang_Class () Int)
+(declare-fun alloc () Int)
+(assert (forall ((?t Int)) (let ((?v_0 (classLiteral ?t))) (and (not (= ?v_0 null)) (= (is ?v_0 T_java_lang_Class) true_term) (= (isAllocated ?v_0 alloc) true_term)))))
+(declare-fun integralAnd (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (=> (or (<= 0 ?x) (<= 0 ?y)) (<= 0 (integralAnd ?x ?y)))))
+(assert (forall ((?x Int) (?y Int)) (=> (<= 0 ?x) (<= (integralAnd ?x ?y) ?x))))
+(assert (forall ((?x Int) (?y Int)) (=> (<= 0 ?y) (<= (integralAnd ?x ?y) ?y))))
+(declare-fun integralOr (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (let ((?v_0 (integralOr ?x ?y))) (=> (and (<= 0 ?x) (<= 0 ?y)) (and (<= ?x ?v_0) (<= ?y ?v_0))))))
+(declare-fun integralXor (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (=> (and (<= 0 ?x) (<= 0 ?y)) (<= 0 (integralXor ?x ?y)))))
+(declare-fun intShiftL (Int Int) Int)
+(assert (forall ((?n Int)) (=> (and (<= 0 ?n) (< ?n 31)) (<= 1 (intShiftL 1 ?n)))))
+(declare-fun longShiftL (Int Int) Int)
+(assert (forall ((?n Int)) (=> (and (<= 0 ?n) (< ?n 63)) (<= 1 (longShiftL 1 ?n)))))
+(assert true)
+(declare-fun T_javafe_tc_MethodDeclVec () Int)
+(declare-fun T_javafe_ast_VarDeclStmt () Int)
+(declare-fun T_javafe_ast_Stmt () Int)
+(declare-fun T_javafe_ast_BinaryExpr () Int)
+(declare-fun T_javafe_ast_Expr () Int)
+(declare-fun T_java_lang_Comparable () Int)
+(declare-fun T_javafe_ast_BranchStmt () Int)
+(declare-fun T_javafe_ast_ClassLiteral () Int)
+(declare-fun T_javafe_ast_ConstructorDecl () Int)
+(declare-fun T_javafe_ast_RoutineDecl () Int)
+(declare-fun T_javafe_ast_ArrayRefExpr () Int)
+(declare-fun T_java_lang_Long () Int)
+(declare-fun T_java_lang_Number () Int)
+(declare-fun T_javafe_ast_AmbiguousMethodInvocation () Int)
+(declare-fun T_javafe_tc_TypeSig () Int)
+(declare-fun T_javafe_ast_Type () Int)
+(declare-fun T_javafe_ast_SimpleName () Int)
+(declare-fun T_javafe_ast_Name () Int)
+(declare-fun T_javafe_ast_WhileStmt () Int)
+(declare-fun T_javafe_ast_SwitchLabel () Int)
+(declare-fun T_javafe_ast_VariableAccess () Int)
+(declare-fun T_javafe_ast_DoStmt () Int)
+(declare-fun T_javafe_ast_VarInit () Int)
+(declare-fun T_javafe_tc_Env () Int)
+(declare-fun T_javafe_ast_ASTNode () Int)
+(declare-fun T_javafe_ast_OnDemandImportDecl () Int)
+(declare-fun T_javafe_ast_ImportDecl () Int)
+(declare-fun T_java_io_Serializable () Int)
+(declare-fun T_javafe_ast_ParenExpr () Int)
+(declare-fun T_javafe_ast_GenericVarDecl () Int)
+(declare-fun T_javafe_ast_ContinueStmt () Int)
+(declare-fun T_javafe_ast_InitBlock () Int)
+(declare-fun T_javafe_ast_TypeDeclElem () Int)
+(declare-fun T_javafe_ast_NewArrayExpr () Int)
+(declare-fun T_javafe_ast_TypeObjectDesignator () Int)
+(declare-fun T_javafe_ast_ObjectDesignator () Int)
+(declare-fun T_javafe_ast_Visitor () Int)
+(declare-fun T_java_lang_Exception () Int)
+(declare-fun T_java_lang_Throwable () Int)
+(declare-fun T_javafe_ast_ConstructorInvocation () Int)
+(declare-fun T_javafe_ast_ExprVec () Int)
+(declare-fun T_javafe_ast_GenericBlockStmt () Int)
+(declare-fun T_javafe_ast_TryCatchStmt () Int)
+(declare-fun T_javafe_ast_EvalStmt () Int)
+(declare-fun T_java_util_Map () Int)
+(declare-fun T_java_util_EscjavaKeyValue () Int)
+(declare-fun T_java_lang_Integer () Int)
+(declare-fun T_javafe_ast_FieldDecl () Int)
+(declare-fun T_javafe_ast_ClassDecl () Int)
+(declare-fun T_javafe_ast_TypeDecl () Int)
+(declare-fun T_javafe_ast_TypeName () Int)
+(declare-fun T_javafe_ast_ArrayInit () Int)
+(declare-fun T_javafe_ast_InstanceOfExpr () Int)
+(declare-fun T_javafe_ast_IfStmt () Int)
+(declare-fun T_javafe_ast_SwitchStmt () Int)
+(declare-fun T_javafe_ast_ThrowStmt () Int)
+(declare-fun T_javafe_ast_ASTDecoration () Int)
+(declare-fun T_javafe_ast_MethodInvocation () Int)
+(declare-fun T_javafe_ast_LiteralExpr () Int)
+(declare-fun T_javafe_ast_IdentifierVec () Int)
+(declare-fun T_javafe_util_Location () Int)
+(declare-fun T_javafe_ast_SkipStmt () Int)
+(declare-fun T_javafe_ast_AmbiguousVariableAccess () Int)
+(declare-fun T_javafe_ast_ClassDeclStmt () Int)
+(declare-fun T_java_lang_Double () Int)
+(declare-fun T_javafe_ast_UnaryExpr () Int)
+(declare-fun T_javafe_ast_SuperObjectDesignator () Int)
+(declare-fun T_java_lang_RuntimeException () Int)
+(declare-fun T_javafe_ast_BreakStmt () Int)
+(declare-fun T_javafe_ast_NewInstanceExpr () Int)
+(declare-fun T_javafe_ast_MethodDecl () Int)
+(declare-fun T_java_lang_Boolean () Int)
+(declare-fun T_javafe_ast_OperatorTags () Int)
+(declare-fun T_javafe_ast_GeneratedTags () Int)
+(declare-fun T_javafe_ast_Identifier () Int)
+(declare-fun T_javafe_ast_CompoundName () Int)
+(declare-fun T_javafe_ast_SynchronizeStmt () Int)
+(declare-fun T_javafe_ast_TryFinallyStmt () Int)
+(declare-fun T_javafe_ast_FieldAccess () Int)
+(declare-fun T_javafe_ast_LocalVarDecl () Int)
+(declare-fun T_javafe_ast_PrimitiveType () Int)
+(declare-fun T_javafe_ast_SingleTypeImportDecl () Int)
+(declare-fun T_javafe_ast_LabelStmt () Int)
+(declare-fun T_javafe_util_Assert () Int)
+(declare-fun T_javafe_ast_CondExpr () Int)
+(declare-fun T_javafe_ast_CompilationUnit () Int)
+(declare-fun T_java_lang_Float () Int)
+(declare-fun T_javafe_ast_VisitorArgResult () Int)
+(declare-fun T_javafe_ast_CatchClause () Int)
+(declare-fun T_javafe_parser_TagConstants () Int)
+(declare-fun T_javafe_ast_TagConstants () Int)
+(declare-fun T_javafe_ast_ExprObjectDesignator () Int)
+(declare-fun T_javafe_tc_TagConstants () Int)
+(declare-fun T_javafe_ast_BlockStmt () Int)
+(declare-fun T_javafe_ast_ReturnStmt () Int)
+(declare-fun T_javafe_tc_FieldDeclVec () Int)
+(declare-fun T_java_lang_IndexOutOfBoundsException () Int)
+(declare-fun T_java_util_Hashtable () Int)
+(declare-fun T_java_util_Dictionary () Int)
+(declare-fun T_javafe_ast_FormalParaDecl () Int)
+(declare-fun T_javafe_ast_ThisExpr () Int)
+(declare-fun T_javafe_ast_InterfaceDecl () Int)
+(declare-fun T_javafe_ast_ArrayType () Int)
+(declare-fun T_javafe_ast_CastExpr () Int)
+(declare-fun T_javafe_ast_ForStmt () Int)
+(declare-fun DIST_ZERO_1 () Int)
+(declare-fun T__TYPE () Int)
+(declare-fun VOIDTYPE_14_33_26 () Int)
+(declare-fun INSTANCEOFEXPR_16_52_7 () Int)
+(declare-fun AND_15_20_26 () Int)
+(declare-fun ASGURSHIFT_15_48_26 () Int)
+(declare-fun IFSTMT_16_38_7 () Int)
+(declare-fun URSHIFT_15_32_26 () Int)
+(declare-fun ARRAYTYPE_16_65_7 () Int)
+(declare-fun FORMALPARADECL_16_24_7 () Int)
+(declare-fun DOUBLETYPE_14_32_26 () Int)
+(declare-fun CONDEXPR_16_51_7 () Int)
+(declare-fun TYPEDECLELEMPRAGMA_30_27_26 () Int)
+(declare-fun OR_15_19_26 () Int)
+(declare-fun ASGRSHIFT_15_47_26 () Int)
+(declare-fun LABELSTMT_16_37_7 () Int)
+(declare-fun RSHIFT_15_31_26 () Int)
+(declare-fun TYPENAME_16_64_7 () Int)
+(declare-fun FIELDDECL_16_23_7 () Int)
+(declare-fun FLOATTYPE_14_31_26 () Int)
+(declare-fun NEWARRAYEXPR_16_50_7 () Int)
+(declare-fun ASGLSHIFT_15_46_26 () Int)
+(declare-fun CONTINUESTMT_16_36_7 () Int)
+(declare-fun STMTPRAGMA_30_26_26 () Int)
+(declare-fun LSHIFT_15_30_26 () Int)
+(declare-fun NULLLIT_14_45_26 () Int)
+(declare-fun SUPEROBJECTDESIGNATOR_16_63_7 () Int)
+(declare-fun LOCALVARDECL_16_22_7 () Int)
+(declare-fun punctuationStrings_30_134_22 () Int)
+(declare-fun POSTFIXDEC_15_63_26 () Int)
+(declare-fun CHARTYPE_14_30_26 () Int)
+(declare-fun NEWINSTANCEEXPR_16_49_7 () Int)
+(declare-fun ASGSUB_15_45_26 () Int)
+(declare-fun BREAKSTMT_16_35_7 () Int)
+(declare-fun otherStrings_30_193_30 () Int)
+(declare-fun LT_15_29_26 () Int)
+(declare-fun MODIFIERPRAGMA_30_25_26 () Int)
+(declare-fun STRINGLIT_14_44_26 () Int)
+(declare-fun TYPEOBJECTDESIGNATOR_16_62_7 () Int)
+(declare-fun INITBLOCK_16_21_7 () Int)
+(declare-fun noTokens_30_212_27 () Int)
+(declare-fun POSTFIXINC_15_62_26 () Int)
+(declare-fun LONGTYPE_14_29_26 () Int)
+(declare-fun ARRAYREFEXPR_16_48_7 () Int)
+(declare-fun ASGADD_15_44_26 () Int)
+(declare-fun THROWSTMT_16_34_7 () Int)
+(declare-fun TYPESIG_29_6_28 () Int)
+(declare-fun LE_15_28_26 () Int)
+(declare-fun DOUBLELIT_14_43_26 () Int)
+(declare-fun EXPROBJECTDESIGNATOR_16_61_7 () Int)
+(declare-fun METHODDECL_16_20_7 () Int)
+(declare-fun DEC_15_59_26 () Int)
+(declare-fun LEXICALPRAGMA_30_24_26 () Int)
+(declare-fun INTTYPE_14_28_26 () Int)
+(declare-fun THISEXPR_16_47_7 () Int)
+(declare-fun ASGREM_15_43_26 () Int)
+(declare-fun RETURNSTMT_16_33_7 () Int)
+(declare-fun LAST_KEYWORD_30_103_26 () Int)
+(declare-fun GT_15_27_26 () Int)
+(declare-fun FLOATLIT_14_42_26 () Int)
+(declare-fun CLASSLITERAL_16_60_7 () Int)
+(declare-fun CONSTRUCTORDECL_16_19_7 () Int)
+(declare-fun FIRST_KEYWORD_30_51_26 () Int)
+(declare-fun INC_15_58_26 () Int)
+(declare-fun BOOLEANTYPE_14_27_26 () Int)
+(declare-fun ARRAYINIT_16_46_7 () Int)
+(declare-fun ASGDIV_15_42_26 () Int)
+(declare-fun EVALSTMT_16_32_7 () Int)
+(declare-fun GE_15_26_26 () Int)
+(declare-fun CHARLIT_14_41_26 () Int)
+(declare-fun METHODINVOCATION_16_59_7 () Int)
+(declare-fun INTERFACEDECL_16_18_7 () Int)
+(declare-fun BITNOT_15_57_26 () Int)
+(declare-fun IDENT_14_25_26 () Int)
+(declare-fun CATCHCLAUSE_16_45_7 () Int)
+(declare-fun NULL_30_82_26 () Int)
+(declare-fun ASGMUL_15_41_26 () Int)
+(declare-fun SYNCHRONIZESTMT_16_31_7 () Int)
+(declare-fun EQ_15_25_26 () Int)
+(declare-fun LONGLIT_14_40_26 () Int)
+(declare-fun AMBIGUOUSMETHODINVOCATION_16_58_7 () Int)
+(declare-fun CLASSDECL_16_17_7 () Int)
+(declare-fun NOT_15_56_26 () Int)
+(declare-fun CONSTRUCTORINVOCATION_16_44_7 () Int)
+(declare-fun ASSIGN_15_40_26 () Int)
+(declare-fun DOSTMT_16_30_7 () Int)
+(declare-fun NE_15_24_26 () Int)
+(declare-fun INTLIT_14_39_26 () Int)
+(declare-fun FIELDACCESS_16_57_7 () Int)
+(declare-fun ONDEMANDIMPORTDECL_16_16_7 () Int)
+(declare-fun UNARYSUB_15_55_26 () Int)
+(declare-fun TRYCATCHSTMT_16_43_7 () Int)
+(declare-fun STAR_15_37_26 () Int)
+(declare-fun WHILESTMT_16_29_7 () Int)
+(declare-fun BITAND_15_23_26 () Int)
+(declare-fun BOOLEANLIT_14_38_26 () Int)
+(declare-fun VARIABLEACCESS_16_56_7 () Int)
+(declare-fun SINGLETYPEIMPORTDECL_16_15_7 () Int)
+(declare-fun UNARYADD_15_54_26 () Int)
+(declare-fun TRYFINALLYSTMT_16_42_7 () Int)
+(declare-fun MOD_15_36_26 () Int)
+(declare-fun otherCodes_30_202_27 () Int)
+(declare-fun CLASSDECLSTMT_16_28_7 () Int)
+(declare-fun BITXOR_15_22_26 () Int)
+(declare-fun SHORTTYPE_14_36_26 () Int)
+(declare-fun AMBIGUOUSVARIABLEACCESS_16_55_7 () Int)
+(declare-fun COMPILATIONUNIT_16_14_7 () Int)
+(declare-fun ASGBITXOR_15_51_26 () Int)
+(declare-fun punctuationCodes_30_164_19 () Int)
+(declare-fun NULL_13_60_26 () Int)
+(declare-fun SWITCHLABEL_16_41_7 () Int)
+(declare-fun DIV_15_35_26 () Int)
+(declare-fun VARDECLSTMT_16_27_7 () Int)
+(declare-fun BITOR_15_21_26 () Int)
+(declare-fun BYTETYPE_14_35_26 () Int)
+(declare-fun PARENEXPR_16_54_7 () Int)
+(declare-fun ASGBITOR_15_50_26 () Int)
+(declare-fun SKIPSTMT_16_40_7 () Int)
+(declare-fun SUB_15_34_26 () Int)
+(declare-fun COMPOUNDNAME_16_67_7 () Int)
+(declare-fun SWITCHSTMT_16_26_7 () Int)
+(declare-fun keywordStrings_30_181_30 () Int)
+(declare-fun NULLTYPE_14_34_26 () Int)
+(declare-fun CASTEXPR_16_53_7 () Int)
+(declare-fun ASGBITAND_15_49_26 () Int)
+(declare-fun FORSTMT_16_39_7 () Int)
+(declare-fun ADD_15_33_26 () Int)
+(declare-fun SIMPLENAME_16_66_7 () Int)
+(declare-fun BLOCKSTMT_16_25_7 () Int)
+(declare-fun TYPEMODIFIERPRAGMA_30_28_26 () Int)
+(assert (let ((?v_0 (array T_java_lang_String)) (?v_1 (array T_int))) (and (= (PO_LT T_javafe_tc_MethodDeclVec T_java_lang_Object) true_term) (= T_javafe_tc_MethodDeclVec (asChild T_javafe_tc_MethodDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_VarDeclStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_VarDeclStmt (asChild T_javafe_ast_VarDeclStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_BinaryExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_BinaryExpr (asChild T_javafe_ast_BinaryExpr T_javafe_ast_Expr)) (= (PO_LT T_java_lang_Comparable T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_BranchStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_BranchStmt (asChild T_javafe_ast_BranchStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ClassLiteral T_javafe_ast_Expr) true_term) (= T_javafe_ast_ClassLiteral (asChild T_javafe_ast_ClassLiteral T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ConstructorDecl T_javafe_ast_RoutineDecl) true_term) (= T_javafe_ast_ConstructorDecl (asChild T_javafe_ast_ConstructorDecl T_javafe_ast_RoutineDecl)) (= (PO_LT T_javafe_ast_ArrayRefExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ArrayRefExpr (asChild T_javafe_ast_ArrayRefExpr T_javafe_ast_Expr)) (= (PO_LT T_java_lang_Long T_java_lang_Number) true_term) (= T_java_lang_Long (asChild T_java_lang_Long T_java_lang_Number)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Long) true_term) (= ?t T_java_lang_Long))) (= (PO_LT T_java_lang_Long T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_AmbiguousMethodInvocation T_javafe_ast_Expr) true_term) (= T_javafe_ast_AmbiguousMethodInvocation (asChild T_javafe_ast_AmbiguousMethodInvocation T_javafe_ast_Expr)) (= (PO_LT T_javafe_tc_TypeSig T_javafe_ast_Type) true_term) (= T_javafe_tc_TypeSig (asChild T_javafe_tc_TypeSig T_javafe_ast_Type)) (= (PO_LT T_javafe_ast_SimpleName T_javafe_ast_Name) true_term) (= T_javafe_ast_SimpleName (asChild T_javafe_ast_SimpleName T_javafe_ast_Name)) (= (PO_LT T_javafe_ast_WhileStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_WhileStmt (asChild T_javafe_ast_WhileStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_SwitchLabel T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SwitchLabel (asChild T_javafe_ast_SwitchLabel T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_VariableAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_VariableAccess (asChild T_javafe_ast_VariableAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_DoStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_DoStmt (asChild T_javafe_ast_DoStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_Expr T_javafe_ast_VarInit) true_term) (= T_javafe_ast_Expr (asChild T_javafe_ast_Expr T_javafe_ast_VarInit)) (= (PO_LT T_javafe_tc_Env T_java_lang_Object) true_term) (= T_javafe_tc_Env (asChild T_javafe_tc_Env T_java_lang_Object)) (= (PO_LT T_javafe_ast_VarInit T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_VarInit (asChild T_javafe_ast_VarInit T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_OnDemandImportDecl T_javafe_ast_ImportDecl) true_term) (= T_javafe_ast_OnDemandImportDecl (asChild T_javafe_ast_OnDemandImportDecl T_javafe_ast_ImportDecl)) (= (PO_LT T_java_lang_String T_java_lang_Object) true_term) (= T_java_lang_String (asChild T_java_lang_String T_java_lang_Object)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_String) true_term) (= ?t T_java_lang_String))) (= (PO_LT T_java_lang_String T_java_io_Serializable) true_term) (= (PO_LT T_java_lang_String T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_ParenExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ParenExpr (asChild T_javafe_ast_ParenExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_GenericVarDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_GenericVarDecl (asChild T_javafe_ast_GenericVarDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_ContinueStmt T_javafe_ast_BranchStmt) true_term) (= T_javafe_ast_ContinueStmt (asChild T_javafe_ast_ContinueStmt T_javafe_ast_BranchStmt)) (= (PO_LT T_javafe_ast_Type T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Type (asChild T_javafe_ast_Type T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_InitBlock T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_InitBlock (asChild T_javafe_ast_InitBlock T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_InitBlock T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_NewArrayExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_NewArrayExpr (asChild T_javafe_ast_NewArrayExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_TypeObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_TypeObjectDesignator (asChild T_javafe_ast_TypeObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_javafe_ast_Visitor T_java_lang_Object) true_term) (= T_javafe_ast_Visitor (asChild T_javafe_ast_Visitor T_java_lang_Object)) (= (PO_LT T_java_lang_Exception T_java_lang_Throwable) true_term) (= T_java_lang_Exception (asChild T_java_lang_Exception T_java_lang_Throwable)) (= (PO_LT T_javafe_ast_ConstructorInvocation T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ConstructorInvocation (asChild T_javafe_ast_ConstructorInvocation T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ExprVec T_java_lang_Object) true_term) (= T_javafe_ast_ExprVec (asChild T_javafe_ast_ExprVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_GenericBlockStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_GenericBlockStmt (asChild T_javafe_ast_GenericBlockStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_TryCatchStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_TryCatchStmt (asChild T_javafe_ast_TryCatchStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_EvalStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_EvalStmt (asChild T_javafe_ast_EvalStmt T_javafe_ast_Stmt)) (= (PO_LT T_java_util_Map T_java_lang_Object) true_term) (= (PO_LT T_java_util_Map T_java_util_EscjavaKeyValue) true_term) (= (PO_LT T_java_lang_Integer T_java_lang_Number) true_term) (= T_java_lang_Integer (asChild T_java_lang_Integer T_java_lang_Number)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Integer) true_term) (= ?t T_java_lang_Integer))) (= (PO_LT T_java_lang_Integer T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_FieldDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_FieldDecl (asChild T_javafe_ast_FieldDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_ast_FieldDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_ClassDecl T_javafe_ast_TypeDecl) true_term) (= T_javafe_ast_ClassDecl (asChild T_javafe_ast_ClassDecl T_javafe_ast_TypeDecl)) (= (PO_LT T_javafe_ast_TypeName T_javafe_ast_Type) true_term) (= T_javafe_ast_TypeName (asChild T_javafe_ast_TypeName T_javafe_ast_Type)) (= (PO_LT T_javafe_ast_ArrayInit T_javafe_ast_VarInit) true_term) (= T_javafe_ast_ArrayInit (asChild T_javafe_ast_ArrayInit T_javafe_ast_VarInit)) (= (PO_LT T_javafe_ast_InstanceOfExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_InstanceOfExpr (asChild T_javafe_ast_InstanceOfExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_IfStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_IfStmt (asChild T_javafe_ast_IfStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ImportDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_ImportDecl (asChild T_javafe_ast_ImportDecl T_javafe_ast_ASTNode)) (= (PO_LT T_java_io_Serializable T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_SwitchStmt T_javafe_ast_GenericBlockStmt) true_term) (= T_javafe_ast_SwitchStmt (asChild T_javafe_ast_SwitchStmt T_javafe_ast_GenericBlockStmt)) (= (PO_LT T_javafe_ast_ObjectDesignator T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_ObjectDesignator (asChild T_javafe_ast_ObjectDesignator T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_ThrowStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ThrowStmt (asChild T_javafe_ast_ThrowStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ASTDecoration T_java_lang_Object) true_term) (= T_javafe_ast_ASTDecoration (asChild T_javafe_ast_ASTDecoration T_java_lang_Object)) (= (PO_LT T_java_lang_Number T_java_lang_Object) true_term) (= T_java_lang_Number (asChild T_java_lang_Number T_java_lang_Object)) (= (PO_LT T_java_lang_Number T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_MethodInvocation T_javafe_ast_Expr) true_term) (= T_javafe_ast_MethodInvocation (asChild T_javafe_ast_MethodInvocation T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_RoutineDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_RoutineDecl (asChild T_javafe_ast_RoutineDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_RoutineDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_LiteralExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_LiteralExpr (asChild T_javafe_ast_LiteralExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_IdentifierVec T_java_lang_Object) true_term) (= T_javafe_ast_IdentifierVec (asChild T_javafe_ast_IdentifierVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_TypeDeclElem T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_Name T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Name (asChild T_javafe_ast_Name T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_util_Location T_java_lang_Object) true_term) (= T_javafe_util_Location (asChild T_javafe_util_Location T_java_lang_Object)) (= (PO_LT T_javafe_ast_SkipStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SkipStmt (asChild T_javafe_ast_SkipStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_AmbiguousVariableAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_AmbiguousVariableAccess (asChild T_javafe_ast_AmbiguousVariableAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ClassDeclStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ClassDeclStmt (asChild T_javafe_ast_ClassDeclStmt T_javafe_ast_Stmt)) (= (PO_LT T_java_lang_Double T_java_lang_Number) true_term) (= T_java_lang_Double (asChild T_java_lang_Double T_java_lang_Number)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Double) true_term) (= ?t T_java_lang_Double))) (= (PO_LT T_java_lang_Double T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_UnaryExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_UnaryExpr (asChild T_javafe_ast_UnaryExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_SuperObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_SuperObjectDesignator (asChild T_javafe_ast_SuperObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_java_lang_RuntimeException T_java_lang_Exception) true_term) (= T_java_lang_RuntimeException (asChild T_java_lang_RuntimeException T_java_lang_Exception)) (= (PO_LT T_java_lang_Cloneable T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_BreakStmt T_javafe_ast_BranchStmt) true_term) (= T_javafe_ast_BreakStmt (asChild T_javafe_ast_BreakStmt T_javafe_ast_BranchStmt)) (= (PO_LT T_java_lang_Throwable T_java_lang_Object) true_term) (= T_java_lang_Throwable (asChild T_java_lang_Throwable T_java_lang_Object)) (= (PO_LT T_java_lang_Throwable T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_NewInstanceExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_NewInstanceExpr (asChild T_javafe_ast_NewInstanceExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_MethodDecl T_javafe_ast_RoutineDecl) true_term) (= T_javafe_ast_MethodDecl (asChild T_javafe_ast_MethodDecl T_javafe_ast_RoutineDecl)) (= (PO_LT T_java_lang_Boolean T_java_lang_Object) true_term) (= T_java_lang_Boolean (asChild T_java_lang_Boolean T_java_lang_Object)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Boolean) true_term) (= ?t T_java_lang_Boolean))) (= (PO_LT T_java_lang_Boolean T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_OperatorTags T_java_lang_Object) true_term) (= T_javafe_ast_OperatorTags (asChild T_javafe_ast_OperatorTags T_java_lang_Object)) (= (PO_LT T_javafe_ast_OperatorTags T_javafe_ast_GeneratedTags) true_term) (= (PO_LT T_javafe_ast_Identifier T_java_lang_Object) true_term) (= T_javafe_ast_Identifier (asChild T_javafe_ast_Identifier T_java_lang_Object)) (forall ((?t Int)) (= (= (PO_LT ?t T_javafe_ast_Identifier) true_term) (= ?t T_javafe_ast_Identifier))) (= (PO_LT T_javafe_ast_Stmt T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Stmt (asChild T_javafe_ast_Stmt T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_CompoundName T_javafe_ast_Name) true_term) (= T_javafe_ast_CompoundName (asChild T_javafe_ast_CompoundName T_javafe_ast_Name)) (= (PO_LT T_javafe_ast_SynchronizeStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SynchronizeStmt (asChild T_javafe_ast_SynchronizeStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_TryFinallyStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_TryFinallyStmt (asChild T_javafe_ast_TryFinallyStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_FieldAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_FieldAccess (asChild T_javafe_ast_FieldAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ASTNode T_java_lang_Object) true_term) (= T_javafe_ast_ASTNode (asChild T_javafe_ast_ASTNode T_java_lang_Object)) (= (PO_LT T_javafe_ast_ASTNode T_java_lang_Cloneable) true_term) (= (PO_LT T_javafe_ast_LocalVarDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_LocalVarDecl (asChild T_javafe_ast_LocalVarDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_ast_TypeDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_TypeDecl (asChild T_javafe_ast_TypeDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_TypeDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_java_util_EscjavaKeyValue T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_GeneratedTags T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_PrimitiveType T_javafe_ast_Type) true_term) (= T_javafe_ast_PrimitiveType (asChild T_javafe_ast_PrimitiveType T_javafe_ast_Type)) (= (PO_LT T_javafe_ast_SingleTypeImportDecl T_javafe_ast_ImportDecl) true_term) (= T_javafe_ast_SingleTypeImportDecl (asChild T_javafe_ast_SingleTypeImportDecl T_javafe_ast_ImportDecl)) (= (PO_LT T_javafe_ast_LabelStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_LabelStmt (asChild T_javafe_ast_LabelStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_util_Assert T_java_lang_Object) true_term) (= T_javafe_util_Assert (asChild T_javafe_util_Assert T_java_lang_Object)) (= (PO_LT T_javafe_ast_CondExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_CondExpr (asChild T_javafe_ast_CondExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_CompilationUnit T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_CompilationUnit (asChild T_javafe_ast_CompilationUnit T_javafe_ast_ASTNode)) (= (PO_LT T_java_lang_Float T_java_lang_Number) true_term) (= T_java_lang_Float (asChild T_java_lang_Float T_java_lang_Number)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Float) true_term) (= ?t T_java_lang_Float))) (= (PO_LT T_java_lang_Float T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_VisitorArgResult T_java_lang_Object) true_term) (= T_javafe_ast_VisitorArgResult (asChild T_javafe_ast_VisitorArgResult T_java_lang_Object)) (= (PO_LT T_javafe_ast_CatchClause T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_CatchClause (asChild T_javafe_ast_CatchClause T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_parser_TagConstants T_javafe_ast_TagConstants) true_term) (= T_javafe_parser_TagConstants (asChild T_javafe_parser_TagConstants T_javafe_ast_TagConstants)) (= (PO_LT T_javafe_ast_ExprObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_ExprObjectDesignator (asChild T_javafe_ast_ExprObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_javafe_tc_TagConstants T_javafe_parser_TagConstants) true_term) (= T_javafe_tc_TagConstants (asChild T_javafe_tc_TagConstants T_javafe_parser_TagConstants)) (= (PO_LT T_javafe_ast_BlockStmt T_javafe_ast_GenericBlockStmt) true_term) (= T_javafe_ast_BlockStmt (asChild T_javafe_ast_BlockStmt T_javafe_ast_GenericBlockStmt)) (= (PO_LT T_javafe_ast_ReturnStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ReturnStmt (asChild T_javafe_ast_ReturnStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_tc_FieldDeclVec T_java_lang_Object) true_term) (= T_javafe_tc_FieldDeclVec (asChild T_javafe_tc_FieldDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_TagConstants T_javafe_ast_OperatorTags) true_term) (= T_javafe_ast_TagConstants (asChild T_javafe_ast_TagConstants T_javafe_ast_OperatorTags)) (= (PO_LT T_java_lang_IndexOutOfBoundsException T_java_lang_RuntimeException) true_term) (= T_java_lang_IndexOutOfBoundsException (asChild T_java_lang_IndexOutOfBoundsException T_java_lang_RuntimeException)) (= (PO_LT T_java_util_Hashtable T_java_util_Dictionary) true_term) (= T_java_util_Hashtable (asChild T_java_util_Hashtable T_java_util_Dictionary)) (= (PO_LT T_java_util_Hashtable T_java_util_Map) true_term) (= (PO_LT T_java_util_Hashtable T_java_lang_Cloneable) true_term) (= (PO_LT T_java_util_Hashtable T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_FormalParaDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_FormalParaDecl (asChild T_javafe_ast_FormalParaDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_ast_ThisExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ThisExpr (asChild T_javafe_ast_ThisExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_InterfaceDecl T_javafe_ast_TypeDecl) true_term) (= T_javafe_ast_InterfaceDecl (asChild T_javafe_ast_InterfaceDecl T_javafe_ast_TypeDecl)) (= (PO_LT T_javafe_ast_ArrayType T_javafe_ast_Type) true_term) (= T_javafe_ast_ArrayType (asChild T_javafe_ast_ArrayType T_javafe_ast_Type)) (= (PO_LT T_java_util_Dictionary T_java_lang_Object) true_term) (= T_java_util_Dictionary (asChild T_java_util_Dictionary T_java_lang_Object)) (= (PO_LT T_java_util_Dictionary T_java_util_EscjavaKeyValue) true_term) (= (PO_LT T_javafe_ast_CastExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_CastExpr (asChild T_javafe_ast_CastExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ForStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ForStmt (asChild T_javafe_ast_ForStmt T_javafe_ast_Stmt)) (and (= arrayType (+ DIST_ZERO_1 0)) (= T_boolean (+ DIST_ZERO_1 1)) (= T_char (+ DIST_ZERO_1 2)) (= T_byte (+ DIST_ZERO_1 3)) (= T_short (+ DIST_ZERO_1 4)) (= T_int (+ DIST_ZERO_1 5)) (= T_long (+ DIST_ZERO_1 6)) (= T_float (+ DIST_ZERO_1 7)) (= T_double (+ DIST_ZERO_1 8)) (= T__TYPE (+ DIST_ZERO_1 9)) (= T_javafe_tc_MethodDeclVec (+ DIST_ZERO_1 10)) (= T_javafe_ast_VarDeclStmt (+ DIST_ZERO_1 11)) (= T_javafe_ast_BinaryExpr (+ DIST_ZERO_1 12)) (= T_java_lang_Comparable (+ DIST_ZERO_1 13)) (= T_javafe_ast_BranchStmt (+ DIST_ZERO_1 14)) (= T_javafe_ast_ClassLiteral (+ DIST_ZERO_1 15)) (= T_javafe_ast_ConstructorDecl (+ DIST_ZERO_1 16)) (= T_javafe_ast_ArrayRefExpr (+ DIST_ZERO_1 17)) (= T_java_lang_Long (+ DIST_ZERO_1 18)) (= T_javafe_ast_AmbiguousMethodInvocation (+ DIST_ZERO_1 19)) (= T_javafe_tc_TypeSig (+ DIST_ZERO_1 20)) (= T_javafe_ast_SimpleName (+ DIST_ZERO_1 21)) (= T_javafe_ast_WhileStmt (+ DIST_ZERO_1 22)) (= T_javafe_ast_SwitchLabel (+ DIST_ZERO_1 23)) (= T_javafe_ast_VariableAccess (+ DIST_ZERO_1 24)) (= T_javafe_ast_DoStmt (+ DIST_ZERO_1 25)) (= T_javafe_ast_Expr (+ DIST_ZERO_1 26)) (= T_javafe_tc_Env (+ DIST_ZERO_1 27)) (= T_javafe_ast_VarInit (+ DIST_ZERO_1 28)) (= T_javafe_ast_OnDemandImportDecl (+ DIST_ZERO_1 29)) (= T_java_lang_String (+ DIST_ZERO_1 30)) (= T_javafe_ast_ParenExpr (+ DIST_ZERO_1 31)) (= T_javafe_ast_GenericVarDecl (+ DIST_ZERO_1 32)) (= T_javafe_ast_ContinueStmt (+ DIST_ZERO_1 33)) (= T_javafe_ast_Type (+ DIST_ZERO_1 34)) (= T_javafe_ast_InitBlock (+ DIST_ZERO_1 35)) (= T_javafe_ast_NewArrayExpr (+ DIST_ZERO_1 36)) (= T_javafe_ast_TypeObjectDesignator (+ DIST_ZERO_1 37)) (= T_javafe_ast_Visitor (+ DIST_ZERO_1 38)) (= T_java_lang_Exception (+ DIST_ZERO_1 39)) (= T_javafe_ast_ConstructorInvocation (+ DIST_ZERO_1 40)) (= T_javafe_ast_ExprVec (+ DIST_ZERO_1 41)) (= T_javafe_ast_GenericBlockStmt (+ DIST_ZERO_1 42)) (= T_javafe_ast_TryCatchStmt (+ DIST_ZERO_1 43)) (= T_javafe_ast_EvalStmt (+ DIST_ZERO_1 44)) (= T_java_util_Map (+ DIST_ZERO_1 45)) (= T_java_lang_Integer (+ DIST_ZERO_1 46)) (= T_javafe_ast_FieldDecl (+ DIST_ZERO_1 47)) (= T_javafe_ast_ClassDecl (+ DIST_ZERO_1 48)) (= T_javafe_ast_TypeName (+ DIST_ZERO_1 49)) (= T_javafe_ast_ArrayInit (+ DIST_ZERO_1 50)) (= T_javafe_ast_InstanceOfExpr (+ DIST_ZERO_1 51)) (= T_javafe_ast_IfStmt (+ DIST_ZERO_1 52)) (= T_javafe_ast_ImportDecl (+ DIST_ZERO_1 53)) (= T_java_lang_Object (+ DIST_ZERO_1 54)) (= T_java_io_Serializable (+ DIST_ZERO_1 55)) (= T_javafe_ast_SwitchStmt (+ DIST_ZERO_1 56)) (= T_javafe_ast_ObjectDesignator (+ DIST_ZERO_1 57)) (= T_javafe_ast_ThrowStmt (+ DIST_ZERO_1 58)) (= T_javafe_ast_ASTDecoration (+ DIST_ZERO_1 59)) (= T_java_lang_Number (+ DIST_ZERO_1 60)) (= T_javafe_ast_MethodInvocation (+ DIST_ZERO_1 61)) (= T_javafe_ast_RoutineDecl (+ DIST_ZERO_1 62)) (= T_javafe_ast_LiteralExpr (+ DIST_ZERO_1 63)) (= T_javafe_ast_IdentifierVec (+ DIST_ZERO_1 64)) (= T_javafe_ast_TypeDeclElem (+ DIST_ZERO_1 65)) (= T_javafe_ast_Name (+ DIST_ZERO_1 66)) (= T_javafe_util_Location (+ DIST_ZERO_1 67)) (= T_javafe_ast_SkipStmt (+ DIST_ZERO_1 68)) (= T_javafe_ast_AmbiguousVariableAccess (+ DIST_ZERO_1 69)) (= T_javafe_ast_ClassDeclStmt (+ DIST_ZERO_1 70)) (= T_java_lang_Double (+ DIST_ZERO_1 71)) (= T_javafe_ast_UnaryExpr (+ DIST_ZERO_1 72)) (= T_javafe_ast_SuperObjectDesignator (+ DIST_ZERO_1 73)) (= T_java_lang_RuntimeException (+ DIST_ZERO_1 74)) (= T_java_lang_Cloneable (+ DIST_ZERO_1 75)) (= T_javafe_ast_BreakStmt (+ DIST_ZERO_1 76)) (= T_java_lang_Throwable (+ DIST_ZERO_1 77)) (= T_javafe_ast_NewInstanceExpr (+ DIST_ZERO_1 78)) (= T_javafe_ast_MethodDecl (+ DIST_ZERO_1 79)) (= T_java_lang_Boolean (+ DIST_ZERO_1 80)) (= T_javafe_ast_OperatorTags (+ DIST_ZERO_1 81)) (= T_javafe_ast_Identifier (+ DIST_ZERO_1 82)) (= T_javafe_ast_Stmt (+ DIST_ZERO_1 83)) (= T_javafe_ast_CompoundName (+ DIST_ZERO_1 84)) (= T_javafe_ast_SynchronizeStmt (+ DIST_ZERO_1 85)) (= T_javafe_ast_TryFinallyStmt (+ DIST_ZERO_1 86)) (= T_javafe_ast_FieldAccess (+ DIST_ZERO_1 87)) (= T_javafe_ast_ASTNode (+ DIST_ZERO_1 88)) (= T_javafe_ast_LocalVarDecl (+ DIST_ZERO_1 89)) (= T_javafe_ast_TypeDecl (+ DIST_ZERO_1 90)) (= T_java_util_EscjavaKeyValue (+ DIST_ZERO_1 91)) (= T_javafe_ast_GeneratedTags (+ DIST_ZERO_1 92)) (= T_javafe_ast_PrimitiveType (+ DIST_ZERO_1 93)) (= T_javafe_ast_SingleTypeImportDecl (+ DIST_ZERO_1 94)) (= T_javafe_ast_LabelStmt (+ DIST_ZERO_1 95)) (= T_javafe_util_Assert (+ DIST_ZERO_1 96)) (= T_javafe_ast_CondExpr (+ DIST_ZERO_1 97)) (= T_javafe_ast_CompilationUnit (+ DIST_ZERO_1 98)) (= T_java_lang_Float (+ DIST_ZERO_1 99)) (= T_javafe_ast_VisitorArgResult (+ DIST_ZERO_1 100)) (= T_javafe_ast_CatchClause (+ DIST_ZERO_1 101)) (= T_javafe_parser_TagConstants (+ DIST_ZERO_1 102)) (= T_javafe_ast_ExprObjectDesignator (+ DIST_ZERO_1 103)) (= T_javafe_tc_TagConstants (+ DIST_ZERO_1 104)) (= T_javafe_ast_BlockStmt (+ DIST_ZERO_1 105)) (= T_javafe_ast_ReturnStmt (+ DIST_ZERO_1 106)) (= T_javafe_tc_FieldDeclVec (+ DIST_ZERO_1 107)) (= T_javafe_ast_TagConstants (+ DIST_ZERO_1 108)) (= T_java_lang_IndexOutOfBoundsException (+ DIST_ZERO_1 109)) (= T_java_util_Hashtable (+ DIST_ZERO_1 110)) (= T_javafe_ast_FormalParaDecl (+ DIST_ZERO_1 111)) (= T_javafe_ast_ThisExpr (+ DIST_ZERO_1 112)) (= T_javafe_ast_InterfaceDecl (+ DIST_ZERO_1 113)) (= T_javafe_ast_ArrayType (+ DIST_ZERO_1 114)) (= T_java_util_Dictionary (+ DIST_ZERO_1 115)) (= T_javafe_ast_CastExpr (+ DIST_ZERO_1 116)) (= T_javafe_ast_ForStmt (+ DIST_ZERO_1 117))) (= true_term (is VOIDTYPE_14_33_26 T_int)) (= VOIDTYPE_14_33_26 100) (= true_term (is INSTANCEOFEXPR_16_52_7 T_int)) (= INSTANCEOFEXPR_16_52_7 38) (= true_term (is AND_15_20_26 T_int)) (= AND_15_20_26 55) (= true_term (is ASGURSHIFT_15_48_26 T_int)) (= ASGURSHIFT_15_48_26 81) (= true_term (is IFSTMT_16_38_7 T_int)) (= IFSTMT_16_38_7 24) (= true_term (is URSHIFT_15_32_26 T_int)) (= URSHIFT_15_32_26 67) (= true_term (is ARRAYTYPE_16_65_7 T_int)) (= ARRAYTYPE_16_65_7 51) (= true_term (is FORMALPARADECL_16_24_7 T_int)) (= FORMALPARADECL_16_24_7 10) (= true_term (is DOUBLETYPE_14_32_26 T_int)) (= DOUBLETYPE_14_32_26 99) (= true_term (is CONDEXPR_16_51_7 T_int)) (= CONDEXPR_16_51_7 37) (= true_term (is TYPEDECLELEMPRAGMA_30_27_26 T_int)) (= TYPEDECLELEMPRAGMA_30_27_26 117) (= true_term (is OR_15_19_26 T_int)) (= OR_15_19_26 54) (= true_term (is ASGRSHIFT_15_47_26 T_int)) (= ASGRSHIFT_15_47_26 80) (= true_term (is LABELSTMT_16_37_7 T_int)) (= LABELSTMT_16_37_7 23) (= true_term (is RSHIFT_15_31_26 T_int)) (= RSHIFT_15_31_26 66) (= true_term (is TYPENAME_16_64_7 T_int)) (= TYPENAME_16_64_7 50) (= true_term (is FIELDDECL_16_23_7 T_int)) (= FIELDDECL_16_23_7 9) (= true_term (is FLOATTYPE_14_31_26 T_int)) (= FLOATTYPE_14_31_26 98) (= true_term (is NEWARRAYEXPR_16_50_7 T_int)) (= NEWARRAYEXPR_16_50_7 36) (= true_term (is ASGLSHIFT_15_46_26 T_int)) (= ASGLSHIFT_15_46_26 79) (= true_term (is CONTINUESTMT_16_36_7 T_int)) (= CONTINUESTMT_16_36_7 22) (= true_term (is STMTPRAGMA_30_26_26 T_int)) (= STMTPRAGMA_30_26_26 116) (= true_term (is LSHIFT_15_30_26 T_int)) (= LSHIFT_15_30_26 65) (= true_term (is NULLLIT_14_45_26 T_int)) (= NULLLIT_14_45_26 111) (= true_term (is SUPEROBJECTDESIGNATOR_16_63_7 T_int)) (= SUPEROBJECTDESIGNATOR_16_63_7 49) (= true_term (is LOCALVARDECL_16_22_7 T_int)) (= LOCALVARDECL_16_22_7 8) (= true_term (is punctuationStrings_30_134_22 ?v_0)) (not (= punctuationStrings_30_134_22 null)) (= (typeof punctuationStrings_30_134_22) ?v_0) (= (arrayLength punctuationStrings_30_134_22) 48) (= true_term (is POSTFIXDEC_15_63_26 T_int)) (= POSTFIXDEC_15_63_26 92) (= true_term (is CHARTYPE_14_30_26 T_int)) (= CHARTYPE_14_30_26 97) (= true_term (is NEWINSTANCEEXPR_16_49_7 T_int)) (= NEWINSTANCEEXPR_16_49_7 35) (= true_term (is ASGSUB_15_45_26 T_int)) (= ASGSUB_15_45_26 78) (= true_term (is BREAKSTMT_16_35_7 T_int)) (= BREAKSTMT_16_35_7 21) (= true_term (is otherStrings_30_193_30 ?v_0)) (not (= otherStrings_30_193_30 null)) (= (typeof otherStrings_30_193_30) ?v_0) (= (arrayLength otherStrings_30_193_30) 15) (= true_term (is LT_15_29_26 T_int)) (= LT_15_29_26 64) (= true_term (is MODIFIERPRAGMA_30_25_26 T_int)) (= MODIFIERPRAGMA_30_25_26 115) (= true_term (is STRINGLIT_14_44_26 T_int)) (= STRINGLIT_14_44_26 110) (= true_term (is TYPEOBJECTDESIGNATOR_16_62_7 T_int)) (= TYPEOBJECTDESIGNATOR_16_62_7 48) (= true_term (is INITBLOCK_16_21_7 T_int)) (= INITBLOCK_16_21_7 7) (= true_term (is noTokens_30_212_27 T_int)) (= true_term (is POSTFIXINC_15_62_26 T_int)) (= POSTFIXINC_15_62_26 91) (= true_term (is LONGTYPE_14_29_26 T_int)) (= LONGTYPE_14_29_26 96) (= true_term (is ARRAYREFEXPR_16_48_7 T_int)) (= ARRAYREFEXPR_16_48_7 34) (= true_term (is ASGADD_15_44_26 T_int)) (= ASGADD_15_44_26 77) (= true_term (is THROWSTMT_16_34_7 T_int)) (= THROWSTMT_16_34_7 20) (= true_term (is TYPESIG_29_6_28 T_int)) (= TYPESIG_29_6_28 184) (= true_term (is LE_15_28_26 T_int)) (= LE_15_28_26 63) (= true_term (is DOUBLELIT_14_43_26 T_int)) (= DOUBLELIT_14_43_26 109) (= true_term (is EXPROBJECTDESIGNATOR_16_61_7 T_int)) (= EXPROBJECTDESIGNATOR_16_61_7 47) (= true_term (is METHODDECL_16_20_7 T_int)) (= METHODDECL_16_20_7 6) (= true_term (is DEC_15_59_26 T_int)) (= DEC_15_59_26 90) (= true_term (is LEXICALPRAGMA_30_24_26 T_int)) (= LEXICALPRAGMA_30_24_26 114) (= true_term (is INTTYPE_14_28_26 T_int)) (= INTTYPE_14_28_26 95) (= true_term (is THISEXPR_16_47_7 T_int)) (= THISEXPR_16_47_7 33) (= true_term (is ASGREM_15_43_26 T_int)) (= ASGREM_15_43_26 76) (= true_term (is RETURNSTMT_16_33_7 T_int)) (= RETURNSTMT_16_33_7 19) (= true_term (is LAST_KEYWORD_30_103_26 T_int)) (= LAST_KEYWORD_30_103_26 183) (= true_term (is GT_15_27_26 T_int)) (= GT_15_27_26 62) (= true_term (is FLOATLIT_14_42_26 T_int)) (= FLOATLIT_14_42_26 108) (= true_term (is CLASSLITERAL_16_60_7 T_int)) (= CLASSLITERAL_16_60_7 46) (= true_term (is CONSTRUCTORDECL_16_19_7 T_int)) (= CONSTRUCTORDECL_16_19_7 5) (= true_term (is FIRST_KEYWORD_30_51_26 T_int)) (= FIRST_KEYWORD_30_51_26 133) (= true_term (is INC_15_58_26 T_int)) (= INC_15_58_26 89) (= true_term (is BOOLEANTYPE_14_27_26 T_int)) (= BOOLEANTYPE_14_27_26 94) (= true_term (is ARRAYINIT_16_46_7 T_int)) (= ARRAYINIT_16_46_7 32) (= true_term (is ASGDIV_15_42_26 T_int)) (= ASGDIV_15_42_26 75) (= true_term (is EVALSTMT_16_32_7 T_int)) (= EVALSTMT_16_32_7 18) (= true_term (is GE_15_26_26 T_int)) (= GE_15_26_26 61) (= true_term (is CHARLIT_14_41_26 T_int)) (= CHARLIT_14_41_26 107) (= true_term (is METHODINVOCATION_16_59_7 T_int)) (= METHODINVOCATION_16_59_7 45) (= true_term (is INTERFACEDECL_16_18_7 T_int)) (= INTERFACEDECL_16_18_7 4) (= true_term (is BITNOT_15_57_26 T_int)) (= BITNOT_15_57_26 88) (= true_term (is IDENT_14_25_26 T_int)) (= IDENT_14_25_26 93) (= true_term (is CATCHCLAUSE_16_45_7 T_int)) (= CATCHCLAUSE_16_45_7 31) (= true_term (is NULL_30_82_26 T_int)) (= NULL_30_82_26 163) (= true_term (is ASGMUL_15_41_26 T_int)) (= ASGMUL_15_41_26 74) (= true_term (is SYNCHRONIZESTMT_16_31_7 T_int)) (= SYNCHRONIZESTMT_16_31_7 17) (= true_term (is EQ_15_25_26 T_int)) (= EQ_15_25_26 60) (= true_term (is LONGLIT_14_40_26 T_int)) (= LONGLIT_14_40_26 106) (= true_term (is AMBIGUOUSMETHODINVOCATION_16_58_7 T_int)) (= AMBIGUOUSMETHODINVOCATION_16_58_7 44) (= true_term (is CLASSDECL_16_17_7 T_int)) (= CLASSDECL_16_17_7 3) (= true_term (is NOT_15_56_26 T_int)) (= NOT_15_56_26 87) (= true_term (is CONSTRUCTORINVOCATION_16_44_7 T_int)) (= CONSTRUCTORINVOCATION_16_44_7 30) (= true_term (is ASSIGN_15_40_26 T_int)) (= ASSIGN_15_40_26 73) (= true_term (is DOSTMT_16_30_7 T_int)) (= DOSTMT_16_30_7 16) (= true_term (is NE_15_24_26 T_int)) (= NE_15_24_26 59) (= true_term (is INTLIT_14_39_26 T_int)) (= INTLIT_14_39_26 105) (= true_term (is FIELDACCESS_16_57_7 T_int)) (= FIELDACCESS_16_57_7 43) (= true_term (is ONDEMANDIMPORTDECL_16_16_7 T_int)) (= ONDEMANDIMPORTDECL_16_16_7 2) (= true_term (is UNARYSUB_15_55_26 T_int)) (= UNARYSUB_15_55_26 86) (= true_term (is TRYCATCHSTMT_16_43_7 T_int)) (= TRYCATCHSTMT_16_43_7 29) (= true_term (is STAR_15_37_26 T_int)) (= STAR_15_37_26 72) (= true_term (is WHILESTMT_16_29_7 T_int)) (= WHILESTMT_16_29_7 15) (= true_term (is BITAND_15_23_26 T_int)) (= BITAND_15_23_26 58) (= true_term (is BOOLEANLIT_14_38_26 T_int)) (= BOOLEANLIT_14_38_26 104) (= true_term (is VARIABLEACCESS_16_56_7 T_int)) (= VARIABLEACCESS_16_56_7 42) (= true_term (is SINGLETYPEIMPORTDECL_16_15_7 T_int)) (= SINGLETYPEIMPORTDECL_16_15_7 1) (= true_term (is UNARYADD_15_54_26 T_int)) (= UNARYADD_15_54_26 85) (= true_term (is TRYFINALLYSTMT_16_42_7 T_int)) (= TRYFINALLYSTMT_16_42_7 28) (= true_term (is MOD_15_36_26 T_int)) (= MOD_15_36_26 71) (= true_term (is otherCodes_30_202_27 ?v_1)) (not (= otherCodes_30_202_27 null)) (= (typeof otherCodes_30_202_27) ?v_1) (= (arrayLength otherCodes_30_202_27) 15) (= true_term (is CLASSDECLSTMT_16_28_7 T_int)) (= CLASSDECLSTMT_16_28_7 14) (= true_term (is BITXOR_15_22_26 T_int)) (= BITXOR_15_22_26 57) (= true_term (is SHORTTYPE_14_36_26 T_int)) (= SHORTTYPE_14_36_26 103) (= true_term (is AMBIGUOUSVARIABLEACCESS_16_55_7 T_int)) (= AMBIGUOUSVARIABLEACCESS_16_55_7 41) (= true_term (is COMPILATIONUNIT_16_14_7 T_int)) (= COMPILATIONUNIT_16_14_7 0) (= true_term (is ASGBITXOR_15_51_26 T_int)) (= ASGBITXOR_15_51_26 84) (= true_term (is punctuationCodes_30_164_19 ?v_1)) (not (= punctuationCodes_30_164_19 null)) (= (typeof punctuationCodes_30_164_19) ?v_1) (= (arrayLength punctuationCodes_30_164_19) 48) (= true_term (is NULL_13_60_26 T_int)) (= NULL_13_60_26 0) (= true_term (is SWITCHLABEL_16_41_7 T_int)) (= SWITCHLABEL_16_41_7 27) (= true_term (is DIV_15_35_26 T_int)) (= DIV_15_35_26 70) (= true_term (is VARDECLSTMT_16_27_7 T_int)) (= VARDECLSTMT_16_27_7 13) (= true_term (is BITOR_15_21_26 T_int)) (= BITOR_15_21_26 56) (= true_term (is BYTETYPE_14_35_26 T_int)) (= BYTETYPE_14_35_26 102) (= true_term (is PARENEXPR_16_54_7 T_int)) (= PARENEXPR_16_54_7 40) (= true_term (is ASGBITOR_15_50_26 T_int)) (= ASGBITOR_15_50_26 83) (= true_term (is SKIPSTMT_16_40_7 T_int)) (= SKIPSTMT_16_40_7 26) (= true_term (is SUB_15_34_26 T_int)) (= SUB_15_34_26 69) (= true_term (is COMPOUNDNAME_16_67_7 T_int)) (= COMPOUNDNAME_16_67_7 53) (= true_term (is SWITCHSTMT_16_26_7 T_int)) (= SWITCHSTMT_16_26_7 12) (= true_term (is keywordStrings_30_181_30 ?v_0)) (not (= keywordStrings_30_181_30 null)) (= (typeof keywordStrings_30_181_30) ?v_0) (= (arrayLength keywordStrings_30_181_30) 51) (= true_term (is NULLTYPE_14_34_26 T_int)) (= NULLTYPE_14_34_26 101) (= true_term (is CASTEXPR_16_53_7 T_int)) (= CASTEXPR_16_53_7 39) (= true_term (is ASGBITAND_15_49_26 T_int)) (= ASGBITAND_15_49_26 82) (= true_term (is FORSTMT_16_39_7 T_int)) (= FORSTMT_16_39_7 25) (= true_term (is ADD_15_33_26 T_int)) (= ADD_15_33_26 68) (= true_term (is SIMPLENAME_16_66_7 T_int)) (= SIMPLENAME_16_66_7 52) (= true_term (is BLOCKSTMT_16_25_7 T_int)) (= BLOCKSTMT_16_25_7 11) (= true_term (is TYPEMODIFIERPRAGMA_30_28_26 T_int)) (= TYPEMODIFIERPRAGMA_30_28_26 118))))
+(declare-fun length_pre_81_50_25 () Int)
+(declare-fun length_81_50_25 () Int)
+(declare-fun count_pre_72_67_33 () Int)
+(declare-fun count_72_67_33 () Int)
+(declare-fun VOIDTYPE_pre_14_33_26 () Int)
+(declare-fun INSTANCEOFEXPR_pre_16_52_7 () Int)
+(declare-fun AND_pre_15_20_26 () Int)
+(declare-fun loc_pre_123_49_13 () Int)
+(declare-fun loc_123_49_13 () Int)
+(declare-fun ASGURSHIFT_pre_15_48_26 () Int)
+(declare-fun expr_pre_15_28 () Int)
+(declare-fun expr_15_28 () Int)
+(declare-fun IFSTMT_pre_16_38_7 () Int)
+(declare-fun type_pre_123_24_28 () Int)
+(declare-fun type_123_24_28 () Int)
+(declare-fun locId_pre_111_20_13 () Int)
+(declare-fun locId_111_20_13 () Int)
+(declare-fun URSHIFT_pre_15_32_26 () Int)
+(declare-fun tokenType_pre_78_90_8 () Int)
+(declare-fun tokenType_78_90_8 () Int)
+(declare-fun ARRAYTYPE_pre_16_65_7 () Int)
+(declare-fun FORMALPARADECL_pre_16_24_7 () Int)
+(declare-fun enclosingInstance_pre_122_25_14 () Int)
+(declare-fun enclosingInstance_122_25_14 () Int)
+(declare-fun loc_pre_122_49_13 () Int)
+(declare-fun loc_122_49_13 () Int)
+(declare-fun DOUBLETYPE_pre_14_32_26 () Int)
+(declare-fun CONDEXPR_pre_16_51_7 () Int)
+(declare-fun TYPEDECLELEMPRAGMA_pre_30_27_26 () Int)
+(declare-fun OR_pre_15_19_26 () Int)
+(declare-fun ASGRSHIFT_pre_15_47_26 () Int)
+(declare-fun locQuestion_pre_125_22_13 () Int)
+(declare-fun locQuestion_125_22_13 () Int)
+(declare-fun locIds_pre_141_25_29 () Int)
+(declare-fun locIds_141_25_29 () Int)
+(declare-fun locSuper_pre_137_20_13 () Int)
+(declare-fun locSuper_137_20_13 () Int)
+(declare-fun LABELSTMT_pre_16_37_7 () Int)
+(declare-fun locOpenBrackets_pre_123_65_29 () Int)
+(declare-fun locOpenBrackets_123_65_29 () Int)
+(declare-fun op_pre_26_35_13 () Int)
+(declare-fun op_26_35_13 () Int)
+(declare-fun locOpenBrace_pre_91_22_13 () Int)
+(declare-fun locOpenBrace_91_22_13 () Int)
+(declare-fun RSHIFT_pre_15_31_26 () Int)
+(declare-fun locOpenParen_pre_118_48_13 () Int)
+(declare-fun locOpenParen_118_48_13 () Int)
+(declare-fun TYPENAME_pre_16_64_7 () Int)
+(declare-fun FIELDDECL_pre_16_23_7 () Int)
+(declare-fun loc_pre_63_30_13 () Int)
+(declare-fun loc_63_30_13 () Int)
+(declare-fun loc_pre_113_33_13 () Int)
+(declare-fun loc_113_33_13 () Int)
+(declare-fun FLOATTYPE_pre_14_31_26 () Int)
+(declare-fun NEWARRAYEXPR_pre_16_50_7 () Int)
+(declare-fun ASGLSHIFT_pre_15_46_26 () Int)
+(declare-fun I_will_establish_invariants_afterwards_pre_5_236_34 () Int)
+(declare-fun I_will_establish_invariants_afterwards_5_236_34 () Int)
+(declare-fun CONTINUESTMT_pre_16_36_7 () Int)
+(declare-fun loc_pre_80_40_13 () Int)
+(declare-fun loc_80_40_13 () Int)
+(declare-fun STMTPRAGMA_pre_30_26_26 () Int)
+(declare-fun elements_pre_140_61_39 () Int)
+(declare-fun elements_140_61_39 () Int)
+(declare-fun loc_pre_116_22_13 () Int)
+(declare-fun loc_116_22_13 () Int)
+(declare-fun op_pre_27_26_13 () Int)
+(declare-fun op_27_26_13 () Int)
+(declare-fun locOpenParen_pre_131_30_13 () Int)
+(declare-fun locOpenParen_131_30_13 () Int)
+(declare-fun locGuardOpenParen_pre_23_13 () Int)
+(declare-fun locGuardOpenParen_23_13 () Int)
+(declare-fun LSHIFT_pre_15_30_26 () Int)
+(declare-fun locCloseBrace_pre_62_54_13 () Int)
+(declare-fun locCloseBrace_62_54_13 () Int)
+(declare-fun NULLLIT_pre_14_45_26 () Int)
+(declare-fun SUPEROBJECTDESIGNATOR_pre_16_63_7 () Int)
+(declare-fun loc_pre_83_29_13 () Int)
+(declare-fun loc_83_29_13 () Int)
+(declare-fun LOCALVARDECL_pre_16_22_7 () Int)
+(declare-fun loc_pre_107_18_13 () Int)
+(declare-fun loc_107_18_13 () Int)
+(declare-fun punctuationStrings_pre_30_134_22 () Int)
+(declare-fun POSTFIXDEC_pre_15_63_26 () Int)
+(declare-fun CHARTYPE_pre_14_30_26 () Int)
+(declare-fun NEWINSTANCEEXPR_pre_16_49_7 () Int)
+(declare-fun tag_pre_28_30_13 () Int)
+(declare-fun tag_28_30_13 () Int)
+(declare-fun loc_pre_117_23_13 () Int)
+(declare-fun loc_117_23_13 () Int)
+(declare-fun type_pre_133_20_28 () Int)
+(declare-fun type_133_20_28 () Int)
+(declare-fun dims_pre_123_45_31 () Int)
+(declare-fun dims_123_45_31 () Int)
+(declare-fun ASGSUB_pre_15_45_26 () Int)
+(declare-fun BREAKSTMT_pre_16_35_7 () Int)
+(declare-fun locDots_pre_141_31_29 () Int)
+(declare-fun locDots_141_31_29 () Int)
+(declare-fun otherStrings_pre_30_193_30 () Int)
+(declare-fun LT_pre_15_29_26 () Int)
+(declare-fun MODIFIERPRAGMA_pre_30_25_26 () Int)
+(declare-fun STRINGLIT_pre_14_44_26 () Int)
+(declare-fun TYPEOBJECTDESIGNATOR_pre_16_62_7 () Int)
+(declare-fun locDot_pre_84_21_13 () Int)
+(declare-fun locDot_84_21_13 () Int)
+(declare-fun INITBLOCK_pre_16_21_7 () Int)
+(declare-fun loc_pre_93_18_13 () Int)
+(declare-fun loc_93_18_13 () Int)
+(declare-fun locType_pre_79_21_13 () Int)
+(declare-fun locType_79_21_13 () Int)
+(declare-fun noTokens_pre_30_212_27 () Int)
+(declare-fun loc_pre_103_20_13 () Int)
+(declare-fun loc_103_20_13 () Int)
+(declare-fun POSTFIXINC_pre_15_62_26 () Int)
+(declare-fun LONGTYPE_pre_14_29_26 () Int)
+(declare-fun ARRAYREFEXPR_pre_16_48_7 () Int)
+(declare-fun ASGADD_pre_15_44_26 () Int)
+(declare-fun THROWSTMT_pre_16_34_7 () Int)
+(declare-fun count_pre_140_67_33 () Int)
+(declare-fun count_140_67_33 () Int)
+(declare-fun elements_pre_124_61_33 () Int)
+(declare-fun elements_124_61_33 () Int)
+(declare-fun TYPESIG_pre_29_6_28 () Int)
+(declare-fun LE_pre_15_28_26 () Int)
+(declare-fun DOUBLELIT_pre_14_43_26 () Int)
+(declare-fun EXPROBJECTDESIGNATOR_pre_16_61_7 () Int)
+(declare-fun locKeyword_pre_118_45_13 () Int)
+(declare-fun locKeyword_118_45_13 () Int)
+(declare-fun METHODDECL_pre_16_20_7 () Int)
+(declare-fun locCloseBracket_pre_121_23_13 () Int)
+(declare-fun locCloseBracket_121_23_13 () Int)
+(declare-fun syntax_pre_24_28_29 () Int)
+(declare-fun syntax_24_28_29 () Int)
+(declare-fun loc_pre_109_18_13 () Int)
+(declare-fun loc_109_18_13 () Int)
+(declare-fun DEC_pre_15_59_26 () Int)
+(declare-fun LEXICALPRAGMA_pre_30_24_26 () Int)
+(declare-fun INTTYPE_pre_14_28_26 () Int)
+(declare-fun THISEXPR_pre_16_47_7 () Int)
+(declare-fun loc_pre_100_18_13 () Int)
+(declare-fun loc_100_18_13 () Int)
+(declare-fun ASGREM_pre_15_43_26 () Int)
+(declare-fun RETURNSTMT_pre_16_33_7 () Int)
+(declare-fun LAST_KEYWORD_pre_30_103_26 () Int)
+(declare-fun GT_pre_15_27_26 () Int)
+(declare-fun locOpenParen_pre_132_28_13 () Int)
+(declare-fun locOpenParen_132_28_13 () Int)
+(declare-fun FLOATLIT_pre_14_42_26 () Int)
+(declare-fun CLASSLITERAL_pre_16_60_7 () Int)
+(declare-fun CONSTRUCTORDECL_pre_16_19_7 () Int)
+(declare-fun FIRST_KEYWORD_pre_30_51_26 () Int)
+(declare-fun INC_pre_15_58_26 () Int)
+(declare-fun superCall_pre_118_24_17 () Int)
+(declare-fun superCall_118_24_17 () Int)
+(declare-fun BOOLEANTYPE_pre_14_27_26 () Int)
+(declare-fun ARRAYINIT_pre_16_46_7 () Int)
+(declare-fun locCloseParen_pre_128_21_13 () Int)
+(declare-fun locCloseParen_128_21_13 () Int)
+(declare-fun owner_pre_8_35_28 () Int)
+(declare-fun owner_8_35_28 () Int)
+(declare-fun ASGDIV_pre_15_42_26 () Int)
+(declare-fun locOpenBrace_pre_62_51_13 () Int)
+(declare-fun locOpenBrace_62_51_13 () Int)
+(declare-fun locCloseParen_pre_127_24_13 () Int)
+(declare-fun locCloseParen_127_24_13 () Int)
+(declare-fun EVALSTMT_pre_16_32_7 () Int)
+(declare-fun count_pre_124_67_33 () Int)
+(declare-fun count_124_67_33 () Int)
+(declare-fun GE_pre_15_26_26 () Int)
+(declare-fun CHARLIT_pre_14_41_26 () Int)
+(declare-fun METHODINVOCATION_pre_16_59_7 () Int)
+(declare-fun INTERFACEDECL_pre_16_18_7 () Int)
+(declare-fun BITNOT_pre_15_57_26 () Int)
+(declare-fun IDENT_pre_14_25_26 () Int)
+(declare-fun CATCHCLAUSE_pre_16_45_7 () Int)
+(declare-fun loc_pre_115_29_13 () Int)
+(declare-fun loc_115_29_13 () Int)
+(declare-fun NULL_pre_30_82_26 () Int)
+(declare-fun ASGMUL_pre_15_41_26 () Int)
+(declare-fun SYNCHRONIZESTMT_pre_16_31_7 () Int)
+(declare-fun locOp_pre_26_43_13 () Int)
+(declare-fun locOp_26_43_13 () Int)
+(declare-fun loc_pre_20_13 () Int)
+(declare-fun loc_20_13 () Int)
+(declare-fun locCloseBrace_pre_120_24_13 () Int)
+(declare-fun locCloseBrace_120_24_13 () Int)
+(declare-fun EQ_pre_15_25_26 () Int)
+(declare-fun locId_pre_77_38_13 () Int)
+(declare-fun locId_77_38_13 () Int)
+(declare-fun LONGLIT_pre_14_40_26 () Int)
+(declare-fun AMBIGUOUSMETHODINVOCATION_pre_16_58_7 () Int)
+(declare-fun CLASSDECL_pre_16_17_7 () Int)
+(declare-fun loc_pre_112_22_13 () Int)
+(declare-fun loc_112_22_13 () Int)
+(declare-fun elements_pre_73_61_39 () Int)
+(declare-fun elements_73_61_39 () Int)
+(declare-fun NOT_pre_15_56_26 () Int)
+(declare-fun locDot_pre_118_41_13 () Int)
+(declare-fun locDot_118_41_13 () Int)
+(declare-fun CONSTRUCTORINVOCATION_pre_16_44_7 () Int)
+(declare-fun locOpenParen_pre_104_23_13 () Int)
+(declare-fun locOpenParen_104_23_13 () Int)
+(declare-fun locOpenBracket_pre_121_20_13 () Int)
+(declare-fun locOpenBracket_121_20_13 () Int)
+(declare-fun ASSIGN_pre_15_40_26 () Int)
+(declare-fun DOSTMT_pre_16_30_7 () Int)
+(declare-fun locOpenBrace_pre_80_36_13 () Int)
+(declare-fun locOpenBrace_80_36_13 () Int)
+(declare-fun NE_pre_15_24_26 () Int)
+(declare-fun INTLIT_pre_14_39_26 () Int)
+(declare-fun locId_pre_132_25_13 () Int)
+(declare-fun locId_132_25_13 () Int)
+(declare-fun FIELDACCESS_pre_16_57_7 () Int)
+(declare-fun locOpenBracket_pre_138_21_13 () Int)
+(declare-fun locOpenBracket_138_21_13 () Int)
+(declare-fun ONDEMANDIMPORTDECL_pre_16_16_7 () Int)
+(declare-fun init_pre_123_35_19 () Int)
+(declare-fun init_123_35_19 () Int)
+(declare-fun UNARYSUB_pre_15_55_26 () Int)
+(declare-fun returnType_pre_79_18_28 () Int)
+(declare-fun returnType_79_18_28 () Int)
+(declare-fun loc_pre_114_16_13 () Int)
+(declare-fun loc_114_16_13 () Int)
+(declare-fun loc_pre_129_22_13 () Int)
+(declare-fun loc_129_22_13 () Int)
+(declare-fun TRYCATCHSTMT_pre_16_43_7 () Int)
+(declare-fun body_pre_80_34_19 () Int)
+(declare-fun body_80_34_19 () Int)
+(declare-fun loc_pre_126_21_13 () Int)
+(declare-fun loc_126_21_13 () Int)
+(declare-fun STAR_pre_15_37_26 () Int)
+(declare-fun WHILESTMT_pre_16_29_7 () Int)
+(declare-fun loc_pre_139_20_13 () Int)
+(declare-fun loc_139_20_13 () Int)
+(declare-fun BITAND_pre_15_23_26 () Int)
+(declare-fun locOpenParen_pre_127_21_13 () Int)
+(declare-fun locOpenParen_127_21_13 () Int)
+(declare-fun BOOLEANLIT_pre_14_38_26 () Int)
+(declare-fun VARIABLEACCESS_pre_16_56_7 () Int)
+(declare-fun SINGLETYPEIMPORTDECL_pre_16_15_7 () Int)
+(declare-fun UNARYADD_pre_15_54_26 () Int)
+(declare-fun locId_pre_62_48_13 () Int)
+(declare-fun locId_62_48_13 () Int)
+(declare-fun TRYFINALLYSTMT_pre_16_42_7 () Int)
+(declare-fun count_pre_73_67_33 () Int)
+(declare-fun count_73_67_33 () Int)
+(declare-fun MOD_pre_15_36_26 () Int)
+(declare-fun otherCodes_pre_30_202_27 () Int)
+(declare-fun loc_pre_119_22_13 () Int)
+(declare-fun loc_119_22_13 () Int)
+(declare-fun loc_pre_25_50_13 () Int)
+(declare-fun loc_25_50_13 () Int)
+(declare-fun CLASSDECLSTMT_pre_16_28_7 () Int)
+(declare-fun locOpenBrace_pre_120_21_13 () Int)
+(declare-fun locOpenBrace_120_21_13 () Int)
+(declare-fun BITXOR_pre_15_22_26 () Int)
+(declare-fun locOpenParen_pre_128_18_13 () Int)
+(declare-fun locOpenParen_128_18_13 () Int)
+(declare-fun locDot_pre_122_29_13 () Int)
+(declare-fun locDot_122_29_13 () Int)
+(declare-fun SHORTTYPE_pre_14_36_26 () Int)
+(declare-fun AMBIGUOUSVARIABLEACCESS_pre_16_55_7 () Int)
+(declare-fun type_pre_77_35_28 () Int)
+(declare-fun type_77_35_28 () Int)
+(declare-fun COMPILATIONUNIT_pre_16_14_7 () Int)
+(declare-fun ASGBITXOR_pre_15_51_26 () Int)
+(declare-fun tag_pre_25_32_13 () Int)
+(declare-fun tag_25_32_13 () Int)
+(declare-fun punctuationCodes_pre_30_164_19 () Int)
+(declare-fun NULL_pre_13_60_26 () Int)
+(declare-fun SWITCHLABEL_pre_16_41_7 () Int)
+(declare-fun loc_pre_106_18_13 () Int)
+(declare-fun loc_106_18_13 () Int)
+(declare-fun locOp_pre_27_32_13 () Int)
+(declare-fun locOp_27_32_13 () Int)
+(declare-fun DIV_pre_15_35_26 () Int)
+(declare-fun VARDECLSTMT_pre_16_27_7 () Int)
+(declare-fun locOpenParen_pre_122_52_13 () Int)
+(declare-fun locOpenParen_122_52_13 () Int)
+(declare-fun locFirstSemi_pre_113_36_13 () Int)
+(declare-fun locFirstSemi_113_36_13 () Int)
+(declare-fun elements_pre_72_61_38 () Int)
+(declare-fun elements_72_61_38 () Int)
+(declare-fun BITOR_pre_15_21_26 () Int)
+(declare-fun locColon_pre_125_25_13 () Int)
+(declare-fun locColon_125_25_13 () Int)
+(declare-fun BYTETYPE_pre_14_35_26 () Int)
+(declare-fun PARENEXPR_pre_16_54_7 () Int)
+(declare-fun stmt_pre_17_28 () Int)
+(declare-fun stmt_17_28 () Int)
+(declare-fun ASGBITOR_pre_15_50_26 () Int)
+(declare-fun SKIPSTMT_pre_16_40_7 () Int)
+(declare-fun enclosingInstance_pre_118_37_14 () Int)
+(declare-fun enclosingInstance_118_37_14 () Int)
+(declare-fun type_pre_126_18_28 () Int)
+(declare-fun type_126_18_28 () Int)
+(declare-fun locCloseBrace_pre_91_25_13 () Int)
+(declare-fun locCloseBrace_91_25_13 () Int)
+(declare-fun SUB_pre_15_34_26 () Int)
+(declare-fun COMPOUNDNAME_pre_16_67_7 () Int)
+(declare-fun locDot_pre_133_23_13 () Int)
+(declare-fun locDot_133_23_13 () Int)
+(declare-fun ids_pre_141_19_37 () Int)
+(declare-fun ids_141_19_37 () Int)
+(declare-fun SWITCHSTMT_pre_16_26_7 () Int)
+(declare-fun loc_pre_104_20_13 () Int)
+(declare-fun loc_104_20_13 () Int)
+(declare-fun locId_pre_130_24_13 () Int)
+(declare-fun locId_130_24_13 () Int)
+(declare-fun keywordStrings_pre_30_181_30 () Int)
+(declare-fun NULLTYPE_pre_14_34_26 () Int)
+(declare-fun CASTEXPR_pre_16_53_7 () Int)
+(declare-fun type_pre_127_18_28 () Int)
+(declare-fun type_127_18_28 () Int)
+(declare-fun locFinally_pre_116_25_13 () Int)
+(declare-fun locFinally_116_25_13 () Int)
+(declare-fun ASGBITAND_pre_15_49_26 () Int)
+(declare-fun FORSTMT_pre_16_39_7 () Int)
+(declare-fun locId_pre_80_43_13 () Int)
+(declare-fun locId_80_43_13 () Int)
+(declare-fun elemType_pre_138_18_28 () Int)
+(declare-fun elemType_138_18_28 () Int)
+(declare-fun loc_pre_62_45_13 () Int)
+(declare-fun loc_62_45_13 () Int)
+(declare-fun ADD_pre_15_33_26 () Int)
+(declare-fun SIMPLENAME_pre_16_66_7 () Int)
+(declare-fun BLOCKSTMT_pre_16_25_7 () Int)
+(declare-fun value_pre_25_45_16 () Int)
+(declare-fun value_25_45_16 () Int)
+(declare-fun loc_pre_28_35_13 () Int)
+(declare-fun loc_28_35_13 () Int)
+(declare-fun TYPEMODIFIERPRAGMA_pre_30_28_26 () Int)
+(declare-fun elems_pre () Int)
+(declare-fun elems () Int)
+(declare-fun LS () Int)
+(declare-fun alloc_pre () Int)
+(declare-fun this () Int)
+(declare-fun RES () Int)
+(declare-fun ecReturn () Int)
+(assert (let ((?v_1 (array T_java_lang_String)) (?v_0 (array T_int)) (?v_3 (not (= this null))) (?v_2 (= ecReturn ecReturn)) (?v_4 (= true_term (is this T_javafe_ast_Type))) (?v_5 (not (= RES NULL_13_60_26)))) (not (=> true (=> (and (= length_pre_81_50_25 length_81_50_25) (= length_81_50_25 (asField length_81_50_25 T_int)) (= count_pre_72_67_33 count_72_67_33) (= count_72_67_33 (asField count_72_67_33 T_int)) (= VOIDTYPE_pre_14_33_26 VOIDTYPE_14_33_26) (= true_term (is VOIDTYPE_14_33_26 T_int)) (= INSTANCEOFEXPR_pre_16_52_7 INSTANCEOFEXPR_16_52_7) (= true_term (is INSTANCEOFEXPR_16_52_7 T_int)) (= AND_pre_15_20_26 AND_15_20_26) (= true_term (is AND_15_20_26 T_int)) (= loc_pre_123_49_13 loc_123_49_13) (= loc_123_49_13 (asField loc_123_49_13 T_int)) (= ASGURSHIFT_pre_15_48_26 ASGURSHIFT_15_48_26) (= true_term (is ASGURSHIFT_15_48_26 T_int)) (= expr_pre_15_28 expr_15_28) (= expr_15_28 (asField expr_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_15_28) alloc) (forall ((?s Int)) (=> (not (= ?s null)) (not (= (S_select expr_15_28 ?s) null)))) (= IFSTMT_pre_16_38_7 IFSTMT_16_38_7) (= true_term (is IFSTMT_16_38_7 T_int)) (= type_pre_123_24_28 type_123_24_28) (= type_123_24_28 (asField type_123_24_28 T_javafe_ast_Type)) (< (fClosedTime type_123_24_28) alloc) (forall ((?s_1_ Int)) (=> (not (= ?s_1_ null)) (not (= (S_select type_123_24_28 ?s_1_) null)))) (= locId_pre_111_20_13 locId_111_20_13) (= locId_111_20_13 (asField locId_111_20_13 T_int)) (= URSHIFT_pre_15_32_26 URSHIFT_15_32_26) (= true_term (is URSHIFT_15_32_26 T_int)) (= tokenType_pre_78_90_8 tokenType_78_90_8) (= tokenType_78_90_8 (asField tokenType_78_90_8 T_int)) (= ARRAYTYPE_pre_16_65_7 ARRAYTYPE_16_65_7) (= true_term (is ARRAYTYPE_16_65_7 T_int)) (= FORMALPARADECL_pre_16_24_7 FORMALPARADECL_16_24_7) (= true_term (is FORMALPARADECL_16_24_7 T_int)) (= enclosingInstance_pre_122_25_14 enclosingInstance_122_25_14) (= enclosingInstance_122_25_14 (asField enclosingInstance_122_25_14 T_javafe_ast_Expr)) (< (fClosedTime enclosingInstance_122_25_14) alloc) (= loc_pre_122_49_13 loc_122_49_13) (= loc_122_49_13 (asField loc_122_49_13 T_int)) (= DOUBLETYPE_pre_14_32_26 DOUBLETYPE_14_32_26) (= true_term (is DOUBLETYPE_14_32_26 T_int)) (= CONDEXPR_pre_16_51_7 CONDEXPR_16_51_7) (= true_term (is CONDEXPR_16_51_7 T_int)) (= TYPEDECLELEMPRAGMA_pre_30_27_26 TYPEDECLELEMPRAGMA_30_27_26) (= true_term (is TYPEDECLELEMPRAGMA_30_27_26 T_int)) (= OR_pre_15_19_26 OR_15_19_26) (= true_term (is OR_15_19_26 T_int)) (= ASGRSHIFT_pre_15_47_26 ASGRSHIFT_15_47_26) (= true_term (is ASGRSHIFT_15_47_26 T_int)) (= locQuestion_pre_125_22_13 locQuestion_125_22_13) (= locQuestion_125_22_13 (asField locQuestion_125_22_13 T_int)) (= locIds_pre_141_25_29 locIds_141_25_29) (= locIds_141_25_29 (asField locIds_141_25_29 ?v_0)) (< (fClosedTime locIds_141_25_29) alloc) (forall ((?s_2_ Int)) (=> (not (= ?s_2_ null)) (not (= (S_select locIds_141_25_29 ?s_2_) null)))) (= locSuper_pre_137_20_13 locSuper_137_20_13) (= locSuper_137_20_13 (asField locSuper_137_20_13 T_int)) (= LABELSTMT_pre_16_37_7 LABELSTMT_16_37_7) (= true_term (is LABELSTMT_16_37_7 T_int)) (= locOpenBrackets_pre_123_65_29 locOpenBrackets_123_65_29) (= locOpenBrackets_123_65_29 (asField locOpenBrackets_123_65_29 ?v_0)) (< (fClosedTime locOpenBrackets_123_65_29) alloc) (forall ((?s_3_ Int)) (=> (not (= ?s_3_ null)) (not (= (S_select locOpenBrackets_123_65_29 ?s_3_) null)))) (= op_pre_26_35_13 op_26_35_13) (= op_26_35_13 (asField op_26_35_13 T_int)) (= locOpenBrace_pre_91_22_13 locOpenBrace_91_22_13) (= locOpenBrace_91_22_13 (asField locOpenBrace_91_22_13 T_int)) (= RSHIFT_pre_15_31_26 RSHIFT_15_31_26) (= true_term (is RSHIFT_15_31_26 T_int)) (= locOpenParen_pre_118_48_13 locOpenParen_118_48_13) (= locOpenParen_118_48_13 (asField locOpenParen_118_48_13 T_int)) (= TYPENAME_pre_16_64_7 TYPENAME_16_64_7) (= true_term (is TYPENAME_16_64_7 T_int)) (= FIELDDECL_pre_16_23_7 FIELDDECL_16_23_7) (= true_term (is FIELDDECL_16_23_7 T_int)) (= loc_pre_63_30_13 loc_63_30_13) (= loc_63_30_13 (asField loc_63_30_13 T_int)) (= loc_pre_113_33_13 loc_113_33_13) (= loc_113_33_13 (asField loc_113_33_13 T_int)) (= FLOATTYPE_pre_14_31_26 FLOATTYPE_14_31_26) (= true_term (is FLOATTYPE_14_31_26 T_int)) (= NEWARRAYEXPR_pre_16_50_7 NEWARRAYEXPR_16_50_7) (= true_term (is NEWARRAYEXPR_16_50_7 T_int)) (= ASGLSHIFT_pre_15_46_26 ASGLSHIFT_15_46_26) (= true_term (is ASGLSHIFT_15_46_26 T_int)) (= I_will_establish_invariants_afterwards_pre_5_236_34 I_will_establish_invariants_afterwards_5_236_34) (= true_term (is I_will_establish_invariants_afterwards_5_236_34 T_boolean)) (= CONTINUESTMT_pre_16_36_7 CONTINUESTMT_16_36_7) (= true_term (is CONTINUESTMT_16_36_7 T_int)) (= loc_pre_80_40_13 loc_80_40_13) (= loc_80_40_13 (asField loc_80_40_13 T_int)) (= STMTPRAGMA_pre_30_26_26 STMTPRAGMA_30_26_26) (= true_term (is STMTPRAGMA_30_26_26 T_int)) (= elements_pre_140_61_39 elements_140_61_39) (= elements_140_61_39 (asField elements_140_61_39 (array T_javafe_ast_Identifier))) (< (fClosedTime elements_140_61_39) alloc) (forall ((?s_4_ Int)) (=> (not (= ?s_4_ null)) (not (= (S_select elements_140_61_39 ?s_4_) null)))) (= loc_pre_116_22_13 loc_116_22_13) (= loc_116_22_13 (asField loc_116_22_13 T_int)) (= op_pre_27_26_13 op_27_26_13) (= op_27_26_13 (asField op_27_26_13 T_int)) (= locOpenParen_pre_131_30_13 locOpenParen_131_30_13) (= locOpenParen_131_30_13 (asField locOpenParen_131_30_13 T_int)) (= locGuardOpenParen_pre_23_13 locGuardOpenParen_23_13) (= locGuardOpenParen_23_13 (asField locGuardOpenParen_23_13 T_int)) (= LSHIFT_pre_15_30_26 LSHIFT_15_30_26) (= true_term (is LSHIFT_15_30_26 T_int)) (= locCloseBrace_pre_62_54_13 locCloseBrace_62_54_13) (= locCloseBrace_62_54_13 (asField locCloseBrace_62_54_13 T_int)) (= NULLLIT_pre_14_45_26 NULLLIT_14_45_26) (= true_term (is NULLLIT_14_45_26 T_int)) (= SUPEROBJECTDESIGNATOR_pre_16_63_7 SUPEROBJECTDESIGNATOR_16_63_7) (= true_term (is SUPEROBJECTDESIGNATOR_16_63_7 T_int)) (= loc_pre_83_29_13 loc_83_29_13) (= loc_83_29_13 (asField loc_83_29_13 T_int)) (= LOCALVARDECL_pre_16_22_7 LOCALVARDECL_16_22_7) (= true_term (is LOCALVARDECL_16_22_7 T_int)) (= loc_pre_107_18_13 loc_107_18_13) (= loc_107_18_13 (asField loc_107_18_13 T_int)) (= punctuationStrings_pre_30_134_22 punctuationStrings_30_134_22) (= true_term (is punctuationStrings_30_134_22 ?v_1)) (= true_term (isAllocated punctuationStrings_30_134_22 alloc)) (= POSTFIXDEC_pre_15_63_26 POSTFIXDEC_15_63_26) (= true_term (is POSTFIXDEC_15_63_26 T_int)) (= CHARTYPE_pre_14_30_26 CHARTYPE_14_30_26) (= true_term (is CHARTYPE_14_30_26 T_int)) (= NEWINSTANCEEXPR_pre_16_49_7 NEWINSTANCEEXPR_16_49_7) (= true_term (is NEWINSTANCEEXPR_16_49_7 T_int)) (= tag_pre_28_30_13 tag_28_30_13) (= tag_28_30_13 (asField tag_28_30_13 T_int)) (= loc_pre_117_23_13 loc_117_23_13) (= loc_117_23_13 (asField loc_117_23_13 T_int)) (= type_pre_133_20_28 type_133_20_28) (= type_133_20_28 (asField type_133_20_28 T_javafe_ast_Type)) (< (fClosedTime type_133_20_28) alloc) (forall ((?s_5_ Int)) (=> (not (= ?s_5_ null)) (not (= (S_select type_133_20_28 ?s_5_) null)))) (= dims_pre_123_45_31 dims_123_45_31) (= dims_123_45_31 (asField dims_123_45_31 T_javafe_ast_ExprVec)) (< (fClosedTime dims_123_45_31) alloc) (forall ((?s_6_ Int)) (=> (not (= ?s_6_ null)) (not (= (S_select dims_123_45_31 ?s_6_) null)))) (= ASGSUB_pre_15_45_26 ASGSUB_15_45_26) (= true_term (is ASGSUB_15_45_26 T_int)) (= BREAKSTMT_pre_16_35_7 BREAKSTMT_16_35_7) (= true_term (is BREAKSTMT_16_35_7 T_int)) (= locDots_pre_141_31_29 locDots_141_31_29) (= locDots_141_31_29 (asField locDots_141_31_29 ?v_0)) (< (fClosedTime locDots_141_31_29) alloc) (forall ((?s_7_ Int)) (=> (not (= ?s_7_ null)) (not (= (S_select locDots_141_31_29 ?s_7_) null)))) (= otherStrings_pre_30_193_30 otherStrings_30_193_30) (= true_term (is otherStrings_30_193_30 ?v_1)) (= true_term (isAllocated otherStrings_30_193_30 alloc)) (= LT_pre_15_29_26 LT_15_29_26) (= true_term (is LT_15_29_26 T_int)) (= MODIFIERPRAGMA_pre_30_25_26 MODIFIERPRAGMA_30_25_26) (= true_term (is MODIFIERPRAGMA_30_25_26 T_int)) (= STRINGLIT_pre_14_44_26 STRINGLIT_14_44_26) (= true_term (is STRINGLIT_14_44_26 T_int)) (= TYPEOBJECTDESIGNATOR_pre_16_62_7 TYPEOBJECTDESIGNATOR_16_62_7) (= true_term (is TYPEOBJECTDESIGNATOR_16_62_7 T_int)) (= locDot_pre_84_21_13 locDot_84_21_13) (= locDot_84_21_13 (asField locDot_84_21_13 T_int)) (= INITBLOCK_pre_16_21_7 INITBLOCK_16_21_7) (= true_term (is INITBLOCK_16_21_7 T_int)) (= loc_pre_93_18_13 loc_93_18_13) (= loc_93_18_13 (asField loc_93_18_13 T_int)) (= locType_pre_79_21_13 locType_79_21_13) (= locType_79_21_13 (asField locType_79_21_13 T_int)) (= noTokens_pre_30_212_27 noTokens_30_212_27) (= true_term (is noTokens_30_212_27 T_int)) (= loc_pre_103_20_13 loc_103_20_13) (= loc_103_20_13 (asField loc_103_20_13 T_int)) (= POSTFIXINC_pre_15_62_26 POSTFIXINC_15_62_26) (= true_term (is POSTFIXINC_15_62_26 T_int)) (= LONGTYPE_pre_14_29_26 LONGTYPE_14_29_26) (= true_term (is LONGTYPE_14_29_26 T_int)) (= ARRAYREFEXPR_pre_16_48_7 ARRAYREFEXPR_16_48_7) (= true_term (is ARRAYREFEXPR_16_48_7 T_int)) (= ASGADD_pre_15_44_26 ASGADD_15_44_26) (= true_term (is ASGADD_15_44_26 T_int)) (= THROWSTMT_pre_16_34_7 THROWSTMT_16_34_7) (= true_term (is THROWSTMT_16_34_7 T_int)) (= count_pre_140_67_33 count_140_67_33) (= count_140_67_33 (asField count_140_67_33 T_int)) (= elements_pre_124_61_33 elements_124_61_33) (= elements_124_61_33 (asField elements_124_61_33 (array T_javafe_ast_Expr))) (< (fClosedTime elements_124_61_33) alloc) (forall ((?s_8_ Int)) (=> (not (= ?s_8_ null)) (not (= (S_select elements_124_61_33 ?s_8_) null)))) (= TYPESIG_pre_29_6_28 TYPESIG_29_6_28) (= true_term (is TYPESIG_29_6_28 T_int)) (= LE_pre_15_28_26 LE_15_28_26) (= true_term (is LE_15_28_26 T_int)) (= DOUBLELIT_pre_14_43_26 DOUBLELIT_14_43_26) (= true_term (is DOUBLELIT_14_43_26 T_int)) (= EXPROBJECTDESIGNATOR_pre_16_61_7 EXPROBJECTDESIGNATOR_16_61_7) (= true_term (is EXPROBJECTDESIGNATOR_16_61_7 T_int)) (= locKeyword_pre_118_45_13 locKeyword_118_45_13) (= locKeyword_118_45_13 (asField locKeyword_118_45_13 T_int)) (= METHODDECL_pre_16_20_7 METHODDECL_16_20_7) (= true_term (is METHODDECL_16_20_7 T_int)) (= locCloseBracket_pre_121_23_13 locCloseBracket_121_23_13) (= locCloseBracket_121_23_13 (asField locCloseBracket_121_23_13 T_int)) (= syntax_pre_24_28_29 syntax_24_28_29) (= syntax_24_28_29 (asField syntax_24_28_29 T_boolean)) (= loc_pre_109_18_13 loc_109_18_13) (= loc_109_18_13 (asField loc_109_18_13 T_int)) (= DEC_pre_15_59_26 DEC_15_59_26) (= true_term (is DEC_15_59_26 T_int)) (= LEXICALPRAGMA_pre_30_24_26 LEXICALPRAGMA_30_24_26) (= true_term (is LEXICALPRAGMA_30_24_26 T_int)) (= INTTYPE_pre_14_28_26 INTTYPE_14_28_26) (= true_term (is INTTYPE_14_28_26 T_int)) (= THISEXPR_pre_16_47_7 THISEXPR_16_47_7) (= true_term (is THISEXPR_16_47_7 T_int)) (= loc_pre_100_18_13 loc_100_18_13) (= loc_100_18_13 (asField loc_100_18_13 T_int)) (= ASGREM_pre_15_43_26 ASGREM_15_43_26) (= true_term (is ASGREM_15_43_26 T_int)) (= RETURNSTMT_pre_16_33_7 RETURNSTMT_16_33_7) (= true_term (is RETURNSTMT_16_33_7 T_int)) (= LAST_KEYWORD_pre_30_103_26 LAST_KEYWORD_30_103_26) (= true_term (is LAST_KEYWORD_30_103_26 T_int)) (= GT_pre_15_27_26 GT_15_27_26) (= true_term (is GT_15_27_26 T_int)) (= locOpenParen_pre_132_28_13 locOpenParen_132_28_13) (= locOpenParen_132_28_13 (asField locOpenParen_132_28_13 T_int)) (= FLOATLIT_pre_14_42_26 FLOATLIT_14_42_26) (= true_term (is FLOATLIT_14_42_26 T_int)) (= CLASSLITERAL_pre_16_60_7 CLASSLITERAL_16_60_7) (= true_term (is CLASSLITERAL_16_60_7 T_int)) (= CONSTRUCTORDECL_pre_16_19_7 CONSTRUCTORDECL_16_19_7) (= true_term (is CONSTRUCTORDECL_16_19_7 T_int)) (= FIRST_KEYWORD_pre_30_51_26 FIRST_KEYWORD_30_51_26) (= true_term (is FIRST_KEYWORD_30_51_26 T_int)) (= INC_pre_15_58_26 INC_15_58_26) (= true_term (is INC_15_58_26 T_int)) (= superCall_pre_118_24_17 superCall_118_24_17) (= superCall_118_24_17 (asField superCall_118_24_17 T_boolean)) (= BOOLEANTYPE_pre_14_27_26 BOOLEANTYPE_14_27_26) (= true_term (is BOOLEANTYPE_14_27_26 T_int)) (= ARRAYINIT_pre_16_46_7 ARRAYINIT_16_46_7) (= true_term (is ARRAYINIT_16_46_7 T_int)) (= locCloseParen_pre_128_21_13 locCloseParen_128_21_13) (= locCloseParen_128_21_13 (asField locCloseParen_128_21_13 T_int)) (= owner_pre_8_35_28 owner_8_35_28) (= owner_8_35_28 (asField owner_8_35_28 T_java_lang_Object)) (< (fClosedTime owner_8_35_28) alloc) (= ASGDIV_pre_15_42_26 ASGDIV_15_42_26) (= true_term (is ASGDIV_15_42_26 T_int)) (= locOpenBrace_pre_62_51_13 locOpenBrace_62_51_13) (= locOpenBrace_62_51_13 (asField locOpenBrace_62_51_13 T_int)) (= locCloseParen_pre_127_24_13 locCloseParen_127_24_13) (= locCloseParen_127_24_13 (asField locCloseParen_127_24_13 T_int)) (= EVALSTMT_pre_16_32_7 EVALSTMT_16_32_7) (= true_term (is EVALSTMT_16_32_7 T_int)) (= count_pre_124_67_33 count_124_67_33) (= count_124_67_33 (asField count_124_67_33 T_int)) (= GE_pre_15_26_26 GE_15_26_26) (= true_term (is GE_15_26_26 T_int)) (= CHARLIT_pre_14_41_26 CHARLIT_14_41_26) (= true_term (is CHARLIT_14_41_26 T_int)) (= METHODINVOCATION_pre_16_59_7 METHODINVOCATION_16_59_7) (= true_term (is METHODINVOCATION_16_59_7 T_int)) (= INTERFACEDECL_pre_16_18_7 INTERFACEDECL_16_18_7) (= true_term (is INTERFACEDECL_16_18_7 T_int)) (= BITNOT_pre_15_57_26 BITNOT_15_57_26) (= true_term (is BITNOT_15_57_26 T_int)) (= IDENT_pre_14_25_26 IDENT_14_25_26) (= true_term (is IDENT_14_25_26 T_int)) (= CATCHCLAUSE_pre_16_45_7 CATCHCLAUSE_16_45_7) (= true_term (is CATCHCLAUSE_16_45_7 T_int)) (= loc_pre_115_29_13 loc_115_29_13) (= loc_115_29_13 (asField loc_115_29_13 T_int)) (= NULL_pre_30_82_26 NULL_30_82_26) (= true_term (is NULL_30_82_26 T_int)) (= ASGMUL_pre_15_41_26 ASGMUL_15_41_26) (= true_term (is ASGMUL_15_41_26 T_int)) (= SYNCHRONIZESTMT_pre_16_31_7 SYNCHRONIZESTMT_16_31_7) (= true_term (is SYNCHRONIZESTMT_16_31_7 T_int)) (= locOp_pre_26_43_13 locOp_26_43_13) (= locOp_26_43_13 (asField locOp_26_43_13 T_int)) (= loc_pre_20_13 loc_20_13) (= loc_20_13 (asField loc_20_13 T_int)) (= locCloseBrace_pre_120_24_13 locCloseBrace_120_24_13) (= locCloseBrace_120_24_13 (asField locCloseBrace_120_24_13 T_int)) (= EQ_pre_15_25_26 EQ_15_25_26) (= true_term (is EQ_15_25_26 T_int)) (= locId_pre_77_38_13 locId_77_38_13) (= locId_77_38_13 (asField locId_77_38_13 T_int)) (= LONGLIT_pre_14_40_26 LONGLIT_14_40_26) (= true_term (is LONGLIT_14_40_26 T_int)) (= AMBIGUOUSMETHODINVOCATION_pre_16_58_7 AMBIGUOUSMETHODINVOCATION_16_58_7) (= true_term (is AMBIGUOUSMETHODINVOCATION_16_58_7 T_int)) (= CLASSDECL_pre_16_17_7 CLASSDECL_16_17_7) (= true_term (is CLASSDECL_16_17_7 T_int)) (= loc_pre_112_22_13 loc_112_22_13) (= loc_112_22_13 (asField loc_112_22_13 T_int)) (= elements_pre_73_61_39 elements_73_61_39) (= elements_73_61_39 (asField elements_73_61_39 (array T_javafe_ast_MethodDecl))) (< (fClosedTime elements_73_61_39) alloc) (forall ((?s_9_ Int)) (=> (not (= ?s_9_ null)) (not (= (S_select elements_73_61_39 ?s_9_) null)))) (= NOT_pre_15_56_26 NOT_15_56_26) (= true_term (is NOT_15_56_26 T_int)) (= locDot_pre_118_41_13 locDot_118_41_13) (= locDot_118_41_13 (asField locDot_118_41_13 T_int)) (= CONSTRUCTORINVOCATION_pre_16_44_7 CONSTRUCTORINVOCATION_16_44_7) (= true_term (is CONSTRUCTORINVOCATION_16_44_7 T_int)) (= locOpenParen_pre_104_23_13 locOpenParen_104_23_13) (= locOpenParen_104_23_13 (asField locOpenParen_104_23_13 T_int)) (= locOpenBracket_pre_121_20_13 locOpenBracket_121_20_13) (= locOpenBracket_121_20_13 (asField locOpenBracket_121_20_13 T_int)) (= ASSIGN_pre_15_40_26 ASSIGN_15_40_26) (= true_term (is ASSIGN_15_40_26 T_int)) (= DOSTMT_pre_16_30_7 DOSTMT_16_30_7) (= true_term (is DOSTMT_16_30_7 T_int)) (= locOpenBrace_pre_80_36_13 locOpenBrace_80_36_13) (= locOpenBrace_80_36_13 (asField locOpenBrace_80_36_13 T_int)) (= NE_pre_15_24_26 NE_15_24_26) (= true_term (is NE_15_24_26 T_int)) (= INTLIT_pre_14_39_26 INTLIT_14_39_26) (= true_term (is INTLIT_14_39_26 T_int)) (= locId_pre_132_25_13 locId_132_25_13) (= locId_132_25_13 (asField locId_132_25_13 T_int)) (= FIELDACCESS_pre_16_57_7 FIELDACCESS_16_57_7) (= true_term (is FIELDACCESS_16_57_7 T_int)) (= locOpenBracket_pre_138_21_13 locOpenBracket_138_21_13) (= locOpenBracket_138_21_13 (asField locOpenBracket_138_21_13 T_int)) (= ONDEMANDIMPORTDECL_pre_16_16_7 ONDEMANDIMPORTDECL_16_16_7) (= true_term (is ONDEMANDIMPORTDECL_16_16_7 T_int)) (= init_pre_123_35_19 init_123_35_19) (= init_123_35_19 (asField init_123_35_19 T_javafe_ast_ArrayInit)) (< (fClosedTime init_123_35_19) alloc) (= UNARYSUB_pre_15_55_26 UNARYSUB_15_55_26) (= true_term (is UNARYSUB_15_55_26 T_int)) (= returnType_pre_79_18_28 returnType_79_18_28) (= returnType_79_18_28 (asField returnType_79_18_28 T_javafe_ast_Type)) (< (fClosedTime returnType_79_18_28) alloc) (forall ((?s_10_ Int)) (=> (not (= ?s_10_ null)) (not (= (S_select returnType_79_18_28 ?s_10_) null)))) (= loc_pre_114_16_13 loc_114_16_13) (= loc_114_16_13 (asField loc_114_16_13 T_int)) (= loc_pre_129_22_13 loc_129_22_13) (= loc_129_22_13 (asField loc_129_22_13 T_int)) (= TRYCATCHSTMT_pre_16_43_7 TRYCATCHSTMT_16_43_7) (= true_term (is TRYCATCHSTMT_16_43_7 T_int)) (= body_pre_80_34_19 body_80_34_19) (= body_80_34_19 (asField body_80_34_19 T_javafe_ast_BlockStmt)) (< (fClosedTime body_80_34_19) alloc) (= loc_pre_126_21_13 loc_126_21_13) (= loc_126_21_13 (asField loc_126_21_13 T_int)) (= STAR_pre_15_37_26 STAR_15_37_26) (= true_term (is STAR_15_37_26 T_int)) (= WHILESTMT_pre_16_29_7 WHILESTMT_16_29_7) (= true_term (is WHILESTMT_16_29_7 T_int)) (= loc_pre_139_20_13 loc_139_20_13) (= loc_139_20_13 (asField loc_139_20_13 T_int)) (= BITAND_pre_15_23_26 BITAND_15_23_26) (= true_term (is BITAND_15_23_26 T_int)) (= locOpenParen_pre_127_21_13 locOpenParen_127_21_13) (= locOpenParen_127_21_13 (asField locOpenParen_127_21_13 T_int)) (= BOOLEANLIT_pre_14_38_26 BOOLEANLIT_14_38_26) (= true_term (is BOOLEANLIT_14_38_26 T_int)) (= VARIABLEACCESS_pre_16_56_7 VARIABLEACCESS_16_56_7) (= true_term (is VARIABLEACCESS_16_56_7 T_int)) (= SINGLETYPEIMPORTDECL_pre_16_15_7 SINGLETYPEIMPORTDECL_16_15_7) (= true_term (is SINGLETYPEIMPORTDECL_16_15_7 T_int)) (= UNARYADD_pre_15_54_26 UNARYADD_15_54_26) (= true_term (is UNARYADD_15_54_26 T_int)) (= locId_pre_62_48_13 locId_62_48_13) (= locId_62_48_13 (asField locId_62_48_13 T_int)) (= TRYFINALLYSTMT_pre_16_42_7 TRYFINALLYSTMT_16_42_7) (= true_term (is TRYFINALLYSTMT_16_42_7 T_int)) (= count_pre_73_67_33 count_73_67_33) (= count_73_67_33 (asField count_73_67_33 T_int)) (= MOD_pre_15_36_26 MOD_15_36_26) (= true_term (is MOD_15_36_26 T_int)) (= otherCodes_pre_30_202_27 otherCodes_30_202_27) (= true_term (is otherCodes_30_202_27 ?v_0)) (= true_term (isAllocated otherCodes_30_202_27 alloc)) (= loc_pre_119_22_13 loc_119_22_13) (= loc_119_22_13 (asField loc_119_22_13 T_int)) (= loc_pre_25_50_13 loc_25_50_13) (= loc_25_50_13 (asField loc_25_50_13 T_int)) (= CLASSDECLSTMT_pre_16_28_7 CLASSDECLSTMT_16_28_7) (= true_term (is CLASSDECLSTMT_16_28_7 T_int)) (= locOpenBrace_pre_120_21_13 locOpenBrace_120_21_13) (= locOpenBrace_120_21_13 (asField locOpenBrace_120_21_13 T_int)) (= BITXOR_pre_15_22_26 BITXOR_15_22_26) (= true_term (is BITXOR_15_22_26 T_int)) (= locOpenParen_pre_128_18_13 locOpenParen_128_18_13) (= locOpenParen_128_18_13 (asField locOpenParen_128_18_13 T_int)) (= locDot_pre_122_29_13 locDot_122_29_13) (= locDot_122_29_13 (asField locDot_122_29_13 T_int)) (= SHORTTYPE_pre_14_36_26 SHORTTYPE_14_36_26) (= true_term (is SHORTTYPE_14_36_26 T_int)) (= AMBIGUOUSVARIABLEACCESS_pre_16_55_7 AMBIGUOUSVARIABLEACCESS_16_55_7) (= true_term (is AMBIGUOUSVARIABLEACCESS_16_55_7 T_int)) (= type_pre_77_35_28 type_77_35_28) (= type_77_35_28 (asField type_77_35_28 T_javafe_ast_Type)) (< (fClosedTime type_77_35_28) alloc) (forall ((?s_11_ Int)) (=> (not (= ?s_11_ null)) (not (= (S_select type_77_35_28 ?s_11_) null)))) (= COMPILATIONUNIT_pre_16_14_7 COMPILATIONUNIT_16_14_7) (= true_term (is COMPILATIONUNIT_16_14_7 T_int)) (= ASGBITXOR_pre_15_51_26 ASGBITXOR_15_51_26) (= true_term (is ASGBITXOR_15_51_26 T_int)) (= tag_pre_25_32_13 tag_25_32_13) (= tag_25_32_13 (asField tag_25_32_13 T_int)) (= punctuationCodes_pre_30_164_19 punctuationCodes_30_164_19) (= true_term (is punctuationCodes_30_164_19 ?v_0)) (= true_term (isAllocated punctuationCodes_30_164_19 alloc)) (= NULL_pre_13_60_26 NULL_13_60_26) (= true_term (is NULL_13_60_26 T_int)) (= SWITCHLABEL_pre_16_41_7 SWITCHLABEL_16_41_7) (= true_term (is SWITCHLABEL_16_41_7 T_int)) (= loc_pre_106_18_13 loc_106_18_13) (= loc_106_18_13 (asField loc_106_18_13 T_int)) (= locOp_pre_27_32_13 locOp_27_32_13) (= locOp_27_32_13 (asField locOp_27_32_13 T_int)) (= DIV_pre_15_35_26 DIV_15_35_26) (= true_term (is DIV_15_35_26 T_int)) (= VARDECLSTMT_pre_16_27_7 VARDECLSTMT_16_27_7) (= true_term (is VARDECLSTMT_16_27_7 T_int)) (= locOpenParen_pre_122_52_13 locOpenParen_122_52_13) (= locOpenParen_122_52_13 (asField locOpenParen_122_52_13 T_int)) (= locFirstSemi_pre_113_36_13 locFirstSemi_113_36_13) (= locFirstSemi_113_36_13 (asField locFirstSemi_113_36_13 T_int)) (= elements_pre_72_61_38 elements_72_61_38) (= elements_72_61_38 (asField elements_72_61_38 (array T_javafe_ast_FieldDecl))) (< (fClosedTime elements_72_61_38) alloc) (forall ((?s_12_ Int)) (=> (not (= ?s_12_ null)) (not (= (S_select elements_72_61_38 ?s_12_) null)))) (= BITOR_pre_15_21_26 BITOR_15_21_26) (= true_term (is BITOR_15_21_26 T_int)) (= locColon_pre_125_25_13 locColon_125_25_13) (= locColon_125_25_13 (asField locColon_125_25_13 T_int)) (= BYTETYPE_pre_14_35_26 BYTETYPE_14_35_26) (= true_term (is BYTETYPE_14_35_26 T_int)) (= PARENEXPR_pre_16_54_7 PARENEXPR_16_54_7) (= true_term (is PARENEXPR_16_54_7 T_int)) (= stmt_pre_17_28 stmt_17_28) (= stmt_17_28 (asField stmt_17_28 T_javafe_ast_Stmt)) (< (fClosedTime stmt_17_28) alloc) (forall ((?s_13_ Int)) (=> (not (= ?s_13_ null)) (not (= (S_select stmt_17_28 ?s_13_) null)))) (= ASGBITOR_pre_15_50_26 ASGBITOR_15_50_26) (= true_term (is ASGBITOR_15_50_26 T_int)) (= SKIPSTMT_pre_16_40_7 SKIPSTMT_16_40_7) (= true_term (is SKIPSTMT_16_40_7 T_int)) (= enclosingInstance_pre_118_37_14 enclosingInstance_118_37_14) (= enclosingInstance_118_37_14 (asField enclosingInstance_118_37_14 T_javafe_ast_Expr)) (< (fClosedTime enclosingInstance_118_37_14) alloc) (= type_pre_126_18_28 type_126_18_28) (= type_126_18_28 (asField type_126_18_28 T_javafe_ast_Type)) (< (fClosedTime type_126_18_28) alloc) (forall ((?s_14_ Int)) (=> (not (= ?s_14_ null)) (not (= (S_select type_126_18_28 ?s_14_) null)))) (= locCloseBrace_pre_91_25_13 locCloseBrace_91_25_13) (= locCloseBrace_91_25_13 (asField locCloseBrace_91_25_13 T_int)) (= SUB_pre_15_34_26 SUB_15_34_26) (= true_term (is SUB_15_34_26 T_int)) (= COMPOUNDNAME_pre_16_67_7 COMPOUNDNAME_16_67_7) (= true_term (is COMPOUNDNAME_16_67_7 T_int)) (= locDot_pre_133_23_13 locDot_133_23_13) (= locDot_133_23_13 (asField locDot_133_23_13 T_int)) (= ids_pre_141_19_37 ids_141_19_37) (= ids_141_19_37 (asField ids_141_19_37 T_javafe_ast_IdentifierVec)) (< (fClosedTime ids_141_19_37) alloc) (forall ((?s_15_ Int)) (=> (not (= ?s_15_ null)) (not (= (S_select ids_141_19_37 ?s_15_) null)))) (= SWITCHSTMT_pre_16_26_7 SWITCHSTMT_16_26_7) (= true_term (is SWITCHSTMT_16_26_7 T_int)) (= loc_pre_104_20_13 loc_104_20_13) (= loc_104_20_13 (asField loc_104_20_13 T_int)) (= locId_pre_130_24_13 locId_130_24_13) (= locId_130_24_13 (asField locId_130_24_13 T_int)) (= keywordStrings_pre_30_181_30 keywordStrings_30_181_30) (= true_term (is keywordStrings_30_181_30 ?v_1)) (= true_term (isAllocated keywordStrings_30_181_30 alloc)) (= NULLTYPE_pre_14_34_26 NULLTYPE_14_34_26) (= true_term (is NULLTYPE_14_34_26 T_int)) (= CASTEXPR_pre_16_53_7 CASTEXPR_16_53_7) (= true_term (is CASTEXPR_16_53_7 T_int)) (= type_pre_127_18_28 type_127_18_28) (= type_127_18_28 (asField type_127_18_28 T_javafe_ast_Type)) (< (fClosedTime type_127_18_28) alloc) (forall ((?s_16_ Int)) (=> (not (= ?s_16_ null)) (not (= (S_select type_127_18_28 ?s_16_) null)))) (= locFinally_pre_116_25_13 locFinally_116_25_13) (= locFinally_116_25_13 (asField locFinally_116_25_13 T_int)) (= ASGBITAND_pre_15_49_26 ASGBITAND_15_49_26) (= true_term (is ASGBITAND_15_49_26 T_int)) (= FORSTMT_pre_16_39_7 FORSTMT_16_39_7) (= true_term (is FORSTMT_16_39_7 T_int)) (= locId_pre_80_43_13 locId_80_43_13) (= locId_80_43_13 (asField locId_80_43_13 T_int)) (= elemType_pre_138_18_28 elemType_138_18_28) (= elemType_138_18_28 (asField elemType_138_18_28 T_javafe_ast_Type)) (< (fClosedTime elemType_138_18_28) alloc) (forall ((?s_17_ Int)) (=> (not (= ?s_17_ null)) (not (= (S_select elemType_138_18_28 ?s_17_) null)))) (= loc_pre_62_45_13 loc_62_45_13) (= loc_62_45_13 (asField loc_62_45_13 T_int)) (= ADD_pre_15_33_26 ADD_15_33_26) (= true_term (is ADD_15_33_26 T_int)) (= SIMPLENAME_pre_16_66_7 SIMPLENAME_16_66_7) (= true_term (is SIMPLENAME_16_66_7 T_int)) (= BLOCKSTMT_pre_16_25_7 BLOCKSTMT_16_25_7) (= true_term (is BLOCKSTMT_16_25_7 T_int)) (= value_pre_25_45_16 value_25_45_16) (= value_25_45_16 (asField value_25_45_16 T_java_lang_Object)) (< (fClosedTime value_25_45_16) alloc) (= loc_pre_28_35_13 loc_28_35_13) (= loc_28_35_13 (asField loc_28_35_13 T_int)) (= TYPEMODIFIERPRAGMA_pre_30_28_26 TYPEMODIFIERPRAGMA_30_28_26) (= true_term (is TYPEMODIFIERPRAGMA_30_28_26 T_int)) (= elems_pre elems) (= elems (asElems elems)) (< (eClosedTime elems) alloc) (= LS (asLockSet LS)) (= alloc_pre alloc)) (not (and (= true_term (is this T_javafe_ast_WhileStmt)) (= true_term (isAllocated this alloc)) ?v_3 (forall ((?brokenObj Int)) (=> (and (= true_term (is ?brokenObj T_javafe_ast_WhileStmt)) (not (= ?brokenObj null))) (not (= (S_select locGuardOpenParen_23_13 ?brokenObj) NULL_13_60_26)))) (forall ((?brokenObj_1_ Int)) (=> (and (= true_term (is ?brokenObj_1_ T_javafe_ast_WhileStmt)) (not (= ?brokenObj_1_ null))) (not (= (S_select loc_20_13 ?brokenObj_1_) NULL_13_60_26)))) (= RES (S_select loc_20_13 this)) (= true_term true_term) (or (not ?v_2) (and ?v_2 (or (not (=> (and ?v_2 (not (and ?v_4 ?v_3))) ?v_5)) (not (=> (and ?v_2 ?v_4 ?v_3 (= true_term (S_select syntax_24_28_29 (cast this T_javafe_ast_Type)))) ?v_5))))))))))))
+(check-sat)
+(exit)
diff --git a/test/regress/regress2/quantifiers/javafe.tc.CheckCompilationUnit.001.smt2 b/test/regress/regress2/quantifiers/javafe.tc.CheckCompilationUnit.001.smt2
new file mode 100644
index 000000000..e0938975c
--- /dev/null
+++ b/test/regress/regress2/quantifiers/javafe.tc.CheckCompilationUnit.001.smt2
@@ -0,0 +1,676 @@
+(set-logic AUFLIA)
+(set-info :source |
+ Simplify front end test suite.
+ This benchmark was translated by Michal Moskal.
+|)
+(set-info :smt-lib-version 2.0)
+(set-info :category "industrial")
+(set-info :status unsat)
+(declare-fun L_102.5 () Int)
+(declare-fun integralOr (Int Int) Int)
+(declare-fun type_86.35.28 () Int)
+(declare-fun RES_88.1_0_126.5_0_127.18_127.18 () Int)
+(declare-fun j_88.1_0_102.5_0_102.43 () Int)
+(declare-fun arrayShapeMore (Int Int) Int)
+(declare-fun typeEnv_pre_35.323.32 () Int)
+(declare-fun integralAnd (Int Int) Int)
+(declare-fun RES_6_ () Int)
+(declare-fun T_.TYPE () Int)
+(declare-fun T_javafe.ast.MethodDecl () Int)
+(declare-fun EC_127.12_1_ () Int)
+(declare-fun i_97.24_88.1_0_97.24_22.62.48 () Int)
+(declare-fun elems_pre_6.27.35 () Int)
+(declare-fun intFirst () Int)
+(declare-fun syntax_21.28.29 () Int)
+(declare-fun RES_88.1_0_126.5_0_144.29_144.29 () Int)
+(declare-fun tmp13_88.1_0_102.5_0_115.3 () Int)
+(declare-fun T_javafe.ast.FieldDecl () Int)
+(declare-fun RES_7_ () Int)
+(declare-fun eClosedTime (Int) Int)
+(declare-fun int_m9223372036854775808 () Int)
+(declare-fun EC_90.24 () Int)
+(declare-fun EC_88.1_0_126.5_0_136.7_136.7 () Int)
+(declare-fun elements_82.61.38 () Int)
+(declare-fun S_143.10 () Int)
+(declare-fun int_m2147483648 () Int)
+(declare-fun T_java.lang.Comparable () Int)
+(declare-fun T_javafe.ast.TypeName () Int)
+(declare-fun TYPEDECLELEMPRAGMA_pre_56.27.26 () Int)
+(declare-fun arrayPosition (Int) Int)
+(declare-fun loc_pre_88.40.13 () Int)
+(declare-fun TYPEDECLELEMPRAGMA_56.27.26 () Int)
+(declare-fun RES_8_ () Int)
+(declare-fun keywordStrings_56.181.30 () Int)
+(declare-fun select1 (Int Int) Int)
+(declare-fun select2 (Int Int Int) Int)
+(declare-fun RES_88.1_0_126.5_0_126.27_126.27 () Int)
+(declare-fun T_java.util.EscjavaKeyValue () Int)
+(declare-fun T_javafe.ast.TypeDecl () Int)
+(declare-fun EC_54.14_54.14 () Int)
+(declare-fun T_long () Int)
+(declare-fun RES_88.1_0_126.5_0_136.27_136.27 () Int)
+(declare-fun elements_17.61.39 () Int)
+(declare-fun RES_9_ () Int)
+(declare-fun T_javafe.filespace.Extension () Int)
+(declare-fun INTLIT_57.39.26 () Int)
+(declare-fun C_126.5 () Int)
+(declare-fun lockLE (Int Int) Bool)
+(declare-fun classLiteral (Int) Int)
+(declare-fun RES_88.1_0_102.5_1_102.35_102.35 () Int)
+(declare-fun S_142.5 () Int)
+(declare-fun lockLT (Int Int) Bool)
+(declare-fun RES_127.12 () Int)
+(declare-fun body_88.34.19 () Int)
+(declare-fun T_float () Int)
+(declare-fun alloc () Int)
+(declare-fun elems_77.1 () Int)
+(declare-fun id_26.32.34 () Int)
+(declare-fun EC_88.1_0_88.29_88.29 () Int)
+(declare-fun locOpenBrace_pre_88.36.13 () Int)
+(declare-fun T_javafe.ast.Modifiers () Int)
+(declare-fun asChild (Int Int) Int)
+(declare-fun CONCVARSYM (Int) Int)
+(declare-fun len_136.7_88.1_0_126.5_0_136.7_22.171.36 () Int)
+(declare-fun T_int () Int)
+(declare-fun EC_57.14_57.14 () Int)
+(declare-fun otherCodes_pre_56.202.27 () Int)
+(declare-fun EC_88.1_0_126.5_0_132.13_132.13 () Int)
+(declare-fun locId_86.38.13 () Int)
+(declare-fun RES_127.12_1_ () Int)
+(declare-fun otherStrings_pre_56.193.30 () Int)
+(declare-fun int_2147483647 () Int)
+(declare-fun T_javafe.ast.GenericBlockStmt () Int)
+(declare-fun elements_pre_17.61.39 () Int)
+(declare-fun int_9223372036854775807 () Int)
+(declare-fun RES_88.1_0_126.5_1_126.27_126.27 () Int)
+(declare-fun T_byte () Int)
+(declare-fun loc_6.30.13 () Int)
+(declare-fun punctuationStrings_56.134.22 () Int)
+(declare-fun j_loopold_88.1_0_102.14 () Int)
+(declare-fun typeName_19.15.32 () Int)
+(declare-fun store1 (Int Int Int) Int)
+(declare-fun store2 (Int Int Int Int) Int)
+(declare-fun body_pre_88.34.19 () Int)
+(declare-fun FIRST_KEYWORD_56.51.26 () Int)
+(declare-fun loc_57.14_57.14_15.98.40 () Int)
+(declare-fun S_115.3 () Int)
+(declare-fun owner_pre_4.35.28 () Int)
+(declare-fun checkedField_pre_30.33 () Int)
+(declare-fun max (Int) Int)
+(declare-fun T_javafe.ast.ImportDecl () Int)
+(declare-fun checkedField_30.33 () Int)
+(declare-fun T_java.util.Map () Int)
+(declare-fun LEXICALPRAGMA_pre_56.24.26 () Int)
+(declare-fun LONGLIT_57.40.26 () Int)
+(declare-fun noTokens_56.212.27 () Int)
+(declare-fun FLOATLIT_pre_57.42.26 () Int)
+(declare-fun count_17.67.33 () Int)
+(declare-fun RES_90.24 () Int)
+(declare-fun NULL_56.82.26 () Int)
+(declare-fun STMTPRAGMA_pre_56.26.26 () Int)
+(declare-fun L_88.1 () Int)
+(declare-fun EC_88.1_0_102.5_0_114.15_114.15 () Int)
+(declare-fun locOpenBrace_pre_26.51.13 () Int)
+(declare-fun noTokens_pre_56.212.27 () Int)
+(declare-fun otherStrings_56.193.30 () Int)
+(declare-fun integralDiv (Int Int) Int)
+(declare-fun NULL_15.60.26 () Int)
+(declare-fun T_javafe.ast.Identifier () Int)
+(declare-fun locCloseBrace_97.25.13 () Int)
+(declare-fun TYPEMODIFIERPRAGMA_56.28.26 () Int)
+(declare-fun locId_pre_86.38.13 () Int)
+(declare-fun EC_loopold_88.1_0 () Int)
+(declare-fun elems_6.27.35 () Int)
+(declare-fun T_javafe.ast.TagConstants () Int)
+(declare-fun T_java.lang.Class () Int)
+(declare-fun L_126.5 () Int)
+(declare-fun RES_52.18_52.18 () Int)
+(declare-fun T_java.lang.Object () Int)
+(declare-fun RES_88.1_0_126.5_0_141.6_141.6 () Int)
+(declare-fun returnType_pre_87.18.28 () Int)
+(declare-fun EC_88.1_0_102.5_0_113.21_113.21 () Int)
+(declare-fun T_javafe.parser.TagConstants () Int)
+(declare-fun pkgName_pre_6.21.14 () Int)
+(declare-fun STRINGLIT_57.44.26 () Int)
+(declare-fun imports_76.1 () Int)
+(declare-fun longLast () Int)
+(declare-fun T_javafe.ast.PrettyPrint () Int)
+(declare-fun termConditional (Int Int Int) Int)
+(declare-fun EC_88.1_0_102.5_0_111.21_111.21 () Int)
+(declare-fun BOOLEANLIT_57.38.26 () Int)
+(declare-fun i_loopold_88.10 () Int)
+(declare-fun locCloseBrace_pre_26.54.13 () Int)
+(declare-fun modifiers_pre_26.28.13 () Int)
+(declare-fun EC_52.5_1_ () Int)
+(declare-fun imports_pre_6.25.37 () Int)
+(declare-fun loc_pre_6.30.13 () Int)
+(declare-fun RES_90.24_1_ () Int)
+(declare-fun T_java.util.Dictionary () Int)
+(declare-fun bool_false () Int)
+(declare-fun Smt.true () Int)
+(declare-fun punctuationStrings_pre_56.134.22 () Int)
+(declare-fun EC_88.1_0_126.5_0_140.18_140.18 () Int)
+(declare-fun EC_88.1_0_102.5_0_116.22_116.22 () Int)
+(declare-fun name_pre_20.18.28 () Int)
+(declare-fun asLockSet (Int) Int)
+(declare-fun integralMod (Int Int) Int)
+(declare-fun T_javafe.ast.BlockStmt () Int)
+(declare-fun EC_88.1_0_90.32_90.32 () Int)
+(declare-fun count_pre_83.67.33 () Int)
+(declare-fun EC_104.21 () Int)
+(declare-fun EC_88.1_0_126.5_0_135.13_135.13 () Int)
+(declare-fun Smt.false () Int)
+(declare-fun typeof (Int) Int)
+(declare-fun int_18446744073709551615 () Int)
+(declare-fun RES_54.22_54.22 () Int)
+(declare-fun EC_88.1_0_97.24_97.24 () Int)
+(declare-fun owner_4.35.28 () Int)
+(declare-fun RES_88.1_0_97.40_97.40 () Int)
+(declare-fun length_22.50.25 () Int)
+(declare-fun DOUBLELIT_pre_57.43.26 () Int)
+(declare-fun stringCat (Int Int) Int)
+(declare-fun otherCodes_56.202.27 () Int)
+(declare-fun LAST_KEYWORD_pre_56.103.26 () Int)
+(declare-fun T_boolean () Int)
+(declare-fun EC_loopold_88.1_0_1_ () Int)
+(declare-fun EC_52.18_52.18 () Int)
+(declare-fun longFirst () Int)
+(declare-fun decorationType_5.48.27 () Int)
+(declare-fun returnType_87.18.28 () Int)
+(declare-fun T_java.util.Hashtable () Int)
+(declare-fun RES_10_ () Int)
+(declare-fun NULL_pre_15.60.26 () Int)
+(declare-fun EC_88.1_0_126.5_0_136.17_136.17 () Int)
+(declare-fun RES_11_ () Int)
+(declare-fun EC_10_ () Int)
+(declare-fun arrayFresh (Int Int Int Int Int Int Int) Bool)
+(declare-fun decorationType_pre_5.48.27 () Int)
+(declare-fun locId_26.48.13 () Int)
+(declare-fun locOpenBrace_88.36.13 () Int)
+(declare-fun EC_88.1_0_126.5_0_144.14_144.14 () Int)
+(declare-fun T_javafe.tc.Env () Int)
+(declare-fun locOpenBrace_pre_97.22.13 () Int)
+(declare-fun punctuationCodes_56.164.19 () Int)
+(declare-fun RES () Int)
+(declare-fun type_pre_86.35.28 () Int)
+(declare-fun msg_140.18_88.1_0_126.5_0_140.18_24.220.45 () Int)
+(declare-fun EC_11_ () Int)
+(declare-fun i_88.1_0_88.37 () Int)
+(declare-fun locType_pre_87.21.13 () Int)
+(declare-fun RES_88.1_0_126.5_0_136.7_136.7 () Int)
+(declare-fun LAST_KEYWORD_56.103.26 () Int)
+(declare-fun count_pre_25.67.33 () Int)
+(declare-fun S_118.9 () Int)
+(declare-fun RES_88.1_0_102.5_0_113.21_113.21 () Int)
+(declare-fun EC_12_ () Int)
+(declare-fun EC_88.1_0_126.5_0_127.18_127.18 () Int)
+(declare-fun intLast () Int)
+(declare-fun EC_88.1_0_102.5_0_102.35_102.35 () Int)
+(declare-fun arrayType () Int)
+(declare-fun typeEnv_35.323.32 () Int)
+(declare-fun FLOATLIT_57.42.26 () Int)
+(declare-fun RES_88.1_0_102.5_0_111.21_111.21 () Int)
+(declare-fun EC_88.1_0_102.5_0_114.24_114.24 () Int)
+(declare-fun boolEq (Int Int) Bool)
+(declare-fun EC_13_ () Int)
+(declare-fun count_pre_82.67.33 () Int)
+(declare-fun STMTPRAGMA_56.26.26 () Int)
+(declare-fun T_javafe.ast.Name () Int)
+(declare-fun arrayLength (Int) Int)
+(declare-fun RES_88.1_1_88.29_88.29 () Int)
+(declare-fun S_56.10 () Int)
+(declare-fun locOpenBrace_26.51.13 () Int)
+(declare-fun cast (Int Int) Int)
+(declare-fun asElems (Int) Int)
+(declare-fun locCloseBrace_pre_97.25.13 () Int)
+(declare-fun locId_pre_26.48.13 () Int)
+(declare-fun T_char () Int)
+(declare-fun i_111.21_88.1_0_102.5_0_111.21_22.62.48 () Int)
+(declare-fun whereDecoration_pre_35.597.41 () Int)
+(declare-fun other_136.27_88.1_0_126.5_0_136.27_22.42.42 () Int)
+(declare-fun EC_88.1_0_126.5_0_126.27_126.27 () Int)
+(declare-fun tmp17_cand_135.22 () Int)
+(declare-fun loc_18.18.13 () Int)
+(declare-fun T_javafe.tc.CheckCompilationUnit () Int)
+(declare-fun punctuationCodes_pre_56.164.19 () Int)
+(declare-fun T_javafe.ast.ASTNode () Int)
+(declare-fun RES_88.1_0_126.5_0_135.13_135.13 () Int)
+(declare-fun T_javafe.tc.EnvForCU () Int)
+(declare-fun locType_87.21.13 () Int)
+(declare-fun divides (Int Int) Int)
+(declare-fun name_20.18.28 () Int)
+(declare-fun length_pre_22.50.25 () Int)
+(declare-fun T_javafe.genericfile.GenericFile () Int)
+(declare-fun elements_83.61.39 () Int)
+(declare-fun RES_88.1_0_126.5_0_132.13_132.13 () Int)
+(declare-fun T_javafe.ast.GenericVarDecl () Int)
+(declare-fun T_javafe.ast.TypeDeclElem () Int)
+(declare-fun InRange (Int Int) Bool)
+(declare-fun loc_pre_18.18.13 () Int)
+(declare-fun inst_pre_36.29.44 () Int)
+(declare-fun MODIFIERPRAGMA_pre_56.25.26 () Int)
+(declare-fun EC_88.1_0_126.5_0_140.27_140.27 () Int)
+(declare-fun j_loopold_88.1_0_126.14 () Int)
+(declare-fun msg_114.15_88.1_0_102.5_0_114.15_24.220.45 () Int)
+(declare-fun EC_88.1_0_102.5_0_115.34_115.34 () Int)
+(declare-fun modifiers_26.28.13 () Int)
+(declare-fun CHARLIT_pre_57.41.26 () Int)
+(declare-fun INTLIT_pre_57.39.26 () Int)
+(declare-fun msg_56.6_56.6_16.69.34 () Int)
+(declare-fun tmp9_cand_88.1_0_102.5_0_113.6 () Bool)
+(declare-fun FIRST_KEYWORD_pre_56.51.26 () Int)
+(declare-fun S_57.35 () Int)
+(declare-fun refEQ (Int Int) Int)
+(declare-fun NULL_pre_56.82.26 () Int)
+(declare-fun T_javafe.ast.OperatorTags () Int)
+(declare-fun EC_loopold () Int)
+(declare-fun CHARLIT_57.41.26 () Int)
+(declare-fun T_javafe.tc.TypeSig () Int)
+(declare-fun EC_88.1_0_102.5_0_104.29_104.29 () Int)
+(declare-fun elements_pre_83.61.39 () Int)
+(declare-fun RES_88.1_0_102.5_0_114.15_114.15 () Int)
+(declare-fun BOOLEANLIT_pre_57.38.26 () Int)
+(declare-fun T_javafe.ast.ASTDecoration () Int)
+(declare-fun RES_88.1_0_126.5_0_144.14_144.14 () Int)
+(declare-fun IDENT_57.25.26 () Int)
+(declare-fun T_javafe.ast.GeneratedTags () Int)
+(declare-fun is (Int Int) Int)
+(declare-fun EC_88.1_0_102.5_0_111.37_111.37 () Int)
+(declare-fun locId_88.43.13 () Int)
+(declare-fun T_javafe.ast.TypeDeclVec () Int)
+(declare-fun integralEQ (Int Int) Int)
+(declare-fun RES_104.21 () Int)
+(declare-fun syntax_pre_21.28.29 () Int)
+(declare-fun boolNE (Int Int) Bool)
+(declare-fun EC_90.24_1_ () Int)
+(declare-fun isNewArray (Int) Int)
+(declare-fun S_117.9 () Int)
+(declare-fun loc_144.14_88.1_0_126.5_0_144.14_15.152.36 () Int)
+(declare-fun elems_pre () Int)
+(declare-fun T_javafe.ast.Stmt () Int)
+(declare-fun intShiftL (Int Int) Int)
+(declare-fun nonnullelements (Int Int) Bool)
+(declare-fun IDENT_pre_57.25.26 () Int)
+(declare-fun multiply (Int Int) Int)
+(declare-fun T_javafe.util.ErrorSet () Int)
+(declare-fun RES_88.1_0_102.5_0_102.35_102.35 () Int)
+(declare-fun integralGE (Int Int) Int)
+(declare-fun EC_127.12 () Int)
+(declare-fun count_83.67.33 () Int)
+(declare-fun T_short () Int)
+(declare-fun elements_25.61.37 () Int)
+(declare-fun RES_88.1_0_102.5_0_114.24_114.24 () Int)
+(declare-fun alloc_pre () Int)
+(declare-fun loc_88.40.13 () Int)
+(declare-fun integralGT (Int Int) Int)
+(declare-fun EC () Int)
+(declare-fun boolAnd (Int Int) Bool)
+(declare-fun T_javafe.ast.Type () Int)
+(declare-fun loc_26.45.13 () Int)
+(declare-fun EC_1_ () Int)
+(declare-fun T_javafe.tc.MethodDeclVec () Int)
+(declare-fun arrayShapeOne (Int) Int)
+(declare-fun T_double () Int)
+(declare-fun EC_54.22_54.22 () Int)
+(declare-fun after_54.22_54.22 () Int)
+(declare-fun longShiftL (Int Int) Int)
+(declare-fun T_java.io.Serializable () Int)
+(declare-fun boolOr (Int Int) Bool)
+(declare-fun N2_88.1_0_102.5_0_110.2 () Int)
+(declare-fun int_4294967295 () Int)
+(declare-fun modulo (Int Int) Int)
+(declare-fun EC_88.1_0_126.5_0_144.29_144.29 () Int)
+(declare-fun EC_2_ () Int)
+(declare-fun EC_88.1_0_97.40_97.40 () Int)
+(declare-fun EC_67.1_67.1 () Int)
+(declare-fun locId_pre_88.43.13 () Int)
+(declare-fun sigDecoration_pre_33.104.38 () Int)
+(declare-fun C_88.1 () Int)
+(declare-fun C_102.5 () Int)
+(declare-fun loc_pre_26.45.13 () Int)
+(declare-fun keywordStrings_pre_56.181.30 () Int)
+(declare-fun locOpenBrace_97.22.13 () Int)
+(declare-fun LONGLIT_pre_57.40.26 () Int)
+(declare-fun RES_88.1_0_126.5_0_140.18_140.18 () Int)
+(declare-fun count_pre_17.67.33 () Int)
+(declare-fun RES_88.1_0_102.5_0_116.22_116.22 () Int)
+(declare-fun EC_88.1_0_126.5_0_141.6_141.6 () Int)
+(declare-fun EC_3_ () Int)
+(declare-fun imports_6.25.37 () Int)
+(declare-fun null () Int)
+(declare-fun EC_56.6_56.6 () Int)
+(declare-fun inst_36.29.44 () Int)
+(declare-fun elements_pre_25.61.37 () Int)
+(declare-fun j_88.1_0_126.5_0_126.35 () Int)
+(declare-fun T_java.lang.Boolean () Int)
+(declare-fun EC_52.5 () Int)
+(declare-fun EC_88.1_0_126.5_0_136.27_136.27 () Int)
+(declare-fun T_javafe.tc.FieldDeclVec () Int)
+(declare-fun T_java.lang.String () Int)
+(declare-fun EC_4_ () Int)
+(declare-fun asField (Int Int) Int)
+(declare-fun pkgName_6.21.14 () Int)
+(declare-fun EC_88.1_0_102.5_1_102.35_102.35 () Int)
+(declare-fun tmp9_cand_113.13 () Bool)
+(declare-fun TYPEMODIFIERPRAGMA_pre_56.28.26 () Int)
+(declare-fun elements_pre_82.61.38 () Int)
+(declare-fun boolImplies (Int Int) Bool)
+(declare-fun sigDecoration_33.104.38 () Int)
+(declare-fun EC_5_ () Int)
+(declare-fun integralLE (Int Int) Int)
+(declare-fun RES_1_ () Int)
+(declare-fun T_javafe.ast.ImportDeclVec () Int)
+(declare-fun EC_61.1_61.1 () Int)
+(declare-fun id_pre_26.32.34 () Int)
+(declare-fun T_javafe.ast.CompilationUnit () Int)
+(declare-fun RES_88.1_0_126.5_0_140.27_140.27 () Int)
+(declare-fun tokenType_pre_23.90.8 () Int)
+(declare-fun tmp22_88.1_0_126.5_0_141.3 () Int)
+(declare-fun integralLT (Int Int) Int)
+(declare-fun typeName_pre_19.15.32 () Int)
+(declare-fun T_javafe.ast.SingleTypeImportDecl () Int)
+(declare-fun count_25.67.33 () Int)
+(declare-fun vAllocTime (Int) Int)
+(declare-fun j_88.1_0_102.10 () Int)
+(declare-fun EC_6_ () Int)
+(declare-fun RES_88.1_0_102.5_0_115.34_115.34 () Int)
+(declare-fun T_java.lang.Cloneable () Int)
+(declare-fun RES_2_ () Int)
+(declare-fun boolNot (Int) Bool)
+(declare-fun refNE (Int Int) Int)
+(declare-fun integralXor (Int Int) Int)
+(declare-fun classDown (Int Int) Int)
+(declare-fun N1_88.1_0_96.5 () Int)
+(declare-fun T_javafe.util.Info () Int)
+(declare-fun EC_7_ () Int)
+(declare-fun integralNE (Int Int) Int)
+(declare-fun RES_88.1_0_102.5_0_104.29_104.29 () Int)
+(declare-fun RES_88.1_0_126.5_0_136.17_136.17 () Int)
+(declare-fun RES_3_ () Int)
+(declare-fun EC_88.1_0_126.5_1_126.27_126.27 () Int)
+(declare-fun count_82.67.33 () Int)
+(declare-fun STRINGLIT_pre_57.44.26 () Int)
+(declare-fun RES_88.1_0_102.5_0_111.37_111.37 () Int)
+(declare-fun tokenType_23.90.8 () Int)
+(declare-fun arrayParent (Int) Int)
+(declare-fun elemtype (Int) Int)
+(declare-fun DOUBLELIT_57.43.26 () Int)
+(declare-fun fClosedTime (Int) Int)
+(declare-fun MODIFIERPRAGMA_56.25.26 () Int)
+(declare-fun cu_48.60 () Int)
+(declare-fun array (Int) Int)
+(declare-fun EC_8_ () Int)
+(declare-fun T_javafe.ast.RoutineDecl () Int)
+(declare-fun T_javafe.util.Location () Int)
+(declare-fun LS () Int)
+(declare-fun RES_4_ () Int)
+(declare-fun whereDecoration_35.597.41 () Int)
+(declare-fun RES_88.1_0_90.32_90.32 () Int)
+(declare-fun ecReturn () Int)
+(declare-fun S_116.9 () Int)
+(declare-fun EC_88.1_1_88.29_88.29 () Int)
+(declare-fun isAllocated (Int Int) Bool)
+(declare-fun elems () Int)
+(declare-fun locCloseBrace_26.54.13 () Int)
+(declare-fun subtypes (Int Int) Bool)
+(declare-fun RES_88.1_0_88.29_88.29 () Int)
+(declare-fun EC_9_ () Int)
+(declare-fun RES_88.1_0_97.24_97.24 () Int)
+(declare-fun LEXICALPRAGMA_56.24.26 () Int)
+(declare-fun RES_5_ () Int)
+(declare-fun RES_57.14_57.14 () Int)
+(assert (subtypes T_javafe.ast.ImportDecl T_javafe.ast.ASTNode))
+(assert (= T_javafe.ast.ImportDecl (asChild T_javafe.ast.ImportDecl T_javafe.ast.ASTNode)))
+(assert (subtypes T_javafe.ast.Type T_javafe.ast.ASTNode))
+(assert (= T_javafe.ast.Type (asChild T_javafe.ast.Type T_javafe.ast.ASTNode)))
+(assert (subtypes T_java.util.EscjavaKeyValue T_java.lang.Object))
+(assert (subtypes T_javafe.ast.GenericVarDecl T_javafe.ast.ASTNode))
+(assert (= T_javafe.ast.GenericVarDecl (asChild T_javafe.ast.GenericVarDecl T_javafe.ast.ASTNode)))
+(assert (subtypes T_javafe.tc.MethodDeclVec T_java.lang.Object))
+(assert (= T_javafe.tc.MethodDeclVec (asChild T_javafe.tc.MethodDeclVec T_java.lang.Object)))
+(assert (subtypes T_javafe.genericfile.GenericFile T_java.lang.Object))
+(assert (subtypes T_java.io.Serializable T_java.lang.Object))
+(assert (subtypes T_javafe.ast.BlockStmt T_javafe.ast.GenericBlockStmt))
+(assert (= T_javafe.ast.BlockStmt (asChild T_javafe.ast.BlockStmt T_javafe.ast.GenericBlockStmt)))
+(assert (subtypes T_javafe.ast.Name T_javafe.ast.ASTNode))
+(assert (= T_javafe.ast.Name (asChild T_javafe.ast.Name T_javafe.ast.ASTNode)))
+(assert (subtypes T_javafe.ast.GenericBlockStmt T_javafe.ast.Stmt))
+(assert (= T_javafe.ast.GenericBlockStmt (asChild T_javafe.ast.GenericBlockStmt T_javafe.ast.Stmt)))
+(assert (subtypes T_javafe.ast.TypeName T_javafe.ast.Type))
+(assert (= T_javafe.ast.TypeName (asChild T_javafe.ast.TypeName T_javafe.ast.Type)))
+(assert (subtypes T_javafe.ast.GeneratedTags T_java.lang.Object))
+(assert (subtypes T_javafe.ast.CompilationUnit T_javafe.ast.ASTNode))
+(assert (= T_javafe.ast.CompilationUnit (asChild T_javafe.ast.CompilationUnit T_javafe.ast.ASTNode)))
+(assert (subtypes T_javafe.ast.RoutineDecl T_javafe.ast.ASTNode))
+(assert (= T_javafe.ast.RoutineDecl (asChild T_javafe.ast.RoutineDecl T_javafe.ast.ASTNode)))
+(assert (subtypes T_javafe.ast.RoutineDecl T_javafe.ast.TypeDeclElem))
+(assert (subtypes T_javafe.ast.ImportDeclVec T_java.lang.Object))
+(assert (= T_javafe.ast.ImportDeclVec (asChild T_javafe.ast.ImportDeclVec T_java.lang.Object)))
+(assert (subtypes T_java.lang.Boolean T_java.lang.Object))
+(assert (= T_java.lang.Boolean (asChild T_java.lang.Boolean T_java.lang.Object)))
+(assert (forall ((?t Int)) (! (= (subtypes ?t T_java.lang.Boolean) (= ?t T_java.lang.Boolean)) :pattern ((subtypes ?t T_java.lang.Boolean)) )))
+(assert (subtypes T_java.lang.Boolean T_java.io.Serializable))
+(assert (subtypes T_javafe.ast.PrettyPrint T_java.lang.Object))
+(assert (= T_javafe.ast.PrettyPrint (asChild T_javafe.ast.PrettyPrint T_java.lang.Object)))
+(assert (subtypes T_javafe.tc.CheckCompilationUnit T_java.lang.Object))
+(assert (= T_javafe.tc.CheckCompilationUnit (asChild T_javafe.tc.CheckCompilationUnit T_java.lang.Object)))
+(assert (subtypes T_javafe.ast.Stmt T_javafe.ast.ASTNode))
+(assert (= T_javafe.ast.Stmt (asChild T_javafe.ast.Stmt T_javafe.ast.ASTNode)))
+(assert (subtypes T_javafe.parser.TagConstants T_javafe.ast.TagConstants))
+(assert (= T_javafe.parser.TagConstants (asChild T_javafe.parser.TagConstants T_javafe.ast.TagConstants)))
+(assert (subtypes T_java.util.Hashtable T_java.util.Dictionary))
+(assert (= T_java.util.Hashtable (asChild T_java.util.Hashtable T_java.util.Dictionary)))
+(assert (subtypes T_java.util.Hashtable T_java.util.Map))
+(assert (subtypes T_java.util.Hashtable T_java.lang.Cloneable))
+(assert (subtypes T_java.util.Hashtable T_java.io.Serializable))
+(assert (subtypes T_javafe.util.ErrorSet T_java.lang.Object))
+(assert (= T_javafe.util.ErrorSet (asChild T_javafe.util.ErrorSet T_java.lang.Object)))
+(assert (subtypes T_javafe.util.Info T_java.lang.Object))
+(assert (= T_javafe.util.Info (asChild T_javafe.util.Info T_java.lang.Object)))
+(assert (subtypes T_java.lang.Comparable T_java.lang.Object))
+(assert (subtypes T_javafe.ast.TypeDeclElem T_java.lang.Object))
+(assert (subtypes T_javafe.ast.Modifiers T_java.lang.Object))
+(assert (= T_javafe.ast.Modifiers (asChild T_javafe.ast.Modifiers T_java.lang.Object)))
+(assert (subtypes T_java.lang.Cloneable T_java.lang.Object))
+(assert (subtypes T_javafe.filespace.Extension T_java.lang.Object))
+(assert (= T_javafe.filespace.Extension (asChild T_javafe.filespace.Extension T_java.lang.Object)))
+(assert (subtypes T_javafe.ast.TypeDeclVec T_java.lang.Object))
+(assert (= T_javafe.ast.TypeDeclVec (asChild T_javafe.ast.TypeDeclVec T_java.lang.Object)))
+(assert (subtypes T_javafe.ast.OperatorTags T_java.lang.Object))
+(assert (= T_javafe.ast.OperatorTags (asChild T_javafe.ast.OperatorTags T_java.lang.Object)))
+(assert (subtypes T_javafe.ast.OperatorTags T_javafe.ast.GeneratedTags))
+(assert (subtypes T_javafe.ast.ASTDecoration T_java.lang.Object))
+(assert (= T_javafe.ast.ASTDecoration (asChild T_javafe.ast.ASTDecoration T_java.lang.Object)))
+(assert (subtypes T_javafe.ast.TagConstants T_javafe.ast.OperatorTags))
+(assert (= T_javafe.ast.TagConstants (asChild T_javafe.ast.TagConstants T_javafe.ast.OperatorTags)))
+(assert (subtypes T_java.lang.String T_java.lang.Object))
+(assert (= T_java.lang.String (asChild T_java.lang.String T_java.lang.Object)))
+(assert (forall ((?t Int)) (! (= (subtypes ?t T_java.lang.String) (= ?t T_java.lang.String)) :pattern ((subtypes ?t T_java.lang.String)) )))
+(assert (subtypes T_java.lang.String T_java.io.Serializable))
+(assert (subtypes T_java.lang.String T_java.lang.Comparable))
+(assert (subtypes T_javafe.tc.Env T_java.lang.Object))
+(assert (= T_javafe.tc.Env (asChild T_javafe.tc.Env T_java.lang.Object)))
+(assert (subtypes T_javafe.ast.FieldDecl T_javafe.ast.GenericVarDecl))
+(assert (= T_javafe.ast.FieldDecl (asChild T_javafe.ast.FieldDecl T_javafe.ast.GenericVarDecl)))
+(assert (subtypes T_javafe.ast.FieldDecl T_javafe.ast.TypeDeclElem))
+(assert (subtypes T_javafe.ast.ASTNode T_java.lang.Object))
+(assert (= T_javafe.ast.ASTNode (asChild T_javafe.ast.ASTNode T_java.lang.Object)))
+(assert (subtypes T_javafe.ast.ASTNode T_java.lang.Cloneable))
+(assert (subtypes T_javafe.ast.Identifier T_java.lang.Object))
+(assert (= T_javafe.ast.Identifier (asChild T_javafe.ast.Identifier T_java.lang.Object)))
+(assert (forall ((?t Int)) (! (= (subtypes ?t T_javafe.ast.Identifier) (= ?t T_javafe.ast.Identifier)) :pattern ((subtypes ?t T_javafe.ast.Identifier)) )))
+(assert (subtypes T_javafe.ast.MethodDecl T_javafe.ast.RoutineDecl))
+(assert (= T_javafe.ast.MethodDecl (asChild T_javafe.ast.MethodDecl T_javafe.ast.RoutineDecl)))
+(assert (subtypes T_javafe.ast.TypeDecl T_javafe.ast.ASTNode))
+(assert (= T_javafe.ast.TypeDecl (asChild T_javafe.ast.TypeDecl T_javafe.ast.ASTNode)))
+(assert (subtypes T_javafe.ast.TypeDecl T_javafe.ast.TypeDeclElem))
+(assert (subtypes T_java.util.Map T_java.lang.Object))
+(assert (subtypes T_java.util.Map T_java.util.EscjavaKeyValue))
+(assert (subtypes T_javafe.tc.TypeSig T_javafe.ast.Type))
+(assert (= T_javafe.tc.TypeSig (asChild T_javafe.tc.TypeSig T_javafe.ast.Type)))
+(assert (subtypes T_javafe.tc.FieldDeclVec T_java.lang.Object))
+(assert (= T_javafe.tc.FieldDeclVec (asChild T_javafe.tc.FieldDeclVec T_java.lang.Object)))
+(assert (subtypes T_javafe.tc.EnvForCU T_javafe.tc.Env))
+(assert (= T_javafe.tc.EnvForCU (asChild T_javafe.tc.EnvForCU T_javafe.tc.Env)))
+(assert (subtypes T_javafe.util.Location T_java.lang.Object))
+(assert (= T_javafe.util.Location (asChild T_javafe.util.Location T_java.lang.Object)))
+(assert (subtypes T_javafe.ast.SingleTypeImportDecl T_javafe.ast.ImportDecl))
+(assert (= T_javafe.ast.SingleTypeImportDecl (asChild T_javafe.ast.SingleTypeImportDecl T_javafe.ast.ImportDecl)))
+(assert (subtypes T_java.util.Dictionary T_java.lang.Object))
+(assert (= T_java.util.Dictionary (asChild T_java.util.Dictionary T_java.lang.Object)))
+(assert (subtypes T_java.util.Dictionary T_java.util.EscjavaKeyValue))
+(assert (distinct arrayType T_boolean T_char T_byte T_short T_int T_long T_float T_double T_.TYPE T_javafe.ast.ImportDecl T_javafe.ast.Type T_java.util.EscjavaKeyValue T_javafe.ast.GenericVarDecl T_javafe.tc.MethodDeclVec T_javafe.genericfile.GenericFile T_java.io.Serializable T_javafe.ast.BlockStmt T_javafe.ast.Name T_javafe.ast.GenericBlockStmt T_javafe.ast.TypeName T_javafe.ast.GeneratedTags T_javafe.ast.CompilationUnit T_javafe.ast.RoutineDecl T_javafe.ast.ImportDeclVec T_java.lang.Boolean T_javafe.ast.PrettyPrint T_javafe.tc.CheckCompilationUnit T_javafe.ast.Stmt T_javafe.parser.TagConstants T_java.util.Hashtable T_javafe.util.ErrorSet T_javafe.util.Info T_java.lang.Comparable T_javafe.ast.TypeDeclElem T_javafe.ast.Modifiers T_java.lang.Cloneable T_javafe.filespace.Extension T_javafe.ast.TypeDeclVec T_javafe.ast.OperatorTags T_javafe.ast.ASTDecoration T_javafe.ast.TagConstants T_java.lang.String T_javafe.tc.Env T_javafe.ast.FieldDecl T_javafe.ast.ASTNode T_javafe.ast.Identifier T_javafe.ast.MethodDecl T_javafe.ast.TypeDecl T_java.util.Map T_javafe.tc.TypeSig T_javafe.tc.FieldDeclVec T_javafe.tc.EnvForCU T_javafe.util.Location T_java.lang.Object T_javafe.ast.SingleTypeImportDecl T_java.util.Dictionary))
+(assert (= Smt.true (is NULL_56.82.26 T_int)))
+(assert (= NULL_56.82.26 163))
+(assert (= Smt.true (is TYPEMODIFIERPRAGMA_56.28.26 T_int)))
+(assert (= TYPEMODIFIERPRAGMA_56.28.26 118))
+(assert (= Smt.true (is STRINGLIT_57.44.26 T_int)))
+(assert (= STRINGLIT_57.44.26 110))
+(assert (= Smt.true (is IDENT_57.25.26 T_int)))
+(assert (= IDENT_57.25.26 93))
+(assert (= Smt.true (is otherCodes_56.202.27 (array T_int))))
+(assert (not (= otherCodes_56.202.27 null)))
+(assert (= (typeof otherCodes_56.202.27) (array T_int)))
+(assert (= (arrayLength otherCodes_56.202.27) 15))
+(assert (= Smt.true (is LAST_KEYWORD_56.103.26 T_int)))
+(assert (= LAST_KEYWORD_56.103.26 183))
+(assert (= Smt.true (is punctuationStrings_56.134.22 (array T_java.lang.String))))
+(assert (not (= punctuationStrings_56.134.22 null)))
+(assert (= (typeof punctuationStrings_56.134.22) (array T_java.lang.String)))
+(assert (= (arrayLength punctuationStrings_56.134.22) 48))
+(assert (= Smt.true (is punctuationCodes_56.164.19 (array T_int))))
+(assert (not (= punctuationCodes_56.164.19 null)))
+(assert (= (typeof punctuationCodes_56.164.19) (array T_int)))
+(assert (= (arrayLength punctuationCodes_56.164.19) 48))
+(assert (= Smt.true (is whereDecoration_35.597.41 T_javafe.ast.ASTDecoration)))
+(assert (not (= whereDecoration_35.597.41 null)))
+(assert (= (typeof whereDecoration_35.597.41) T_javafe.ast.ASTDecoration))
+(assert (= Smt.true (is noTokens_56.212.27 T_int)))
+(assert (= Smt.true (is LEXICALPRAGMA_56.24.26 T_int)))
+(assert (= LEXICALPRAGMA_56.24.26 114))
+(assert (= Smt.true (is LONGLIT_57.40.26 T_int)))
+(assert (= LONGLIT_57.40.26 106))
+(assert (= Smt.true (is sigDecoration_33.104.38 T_javafe.ast.ASTDecoration)))
+(assert (not (= sigDecoration_33.104.38 null)))
+(assert (= (typeof sigDecoration_33.104.38) T_javafe.ast.ASTDecoration))
+(assert (= Smt.true (is TYPEDECLELEMPRAGMA_56.27.26 T_int)))
+(assert (= TYPEDECLELEMPRAGMA_56.27.26 117))
+(assert (= Smt.true (is NULL_15.60.26 T_int)))
+(assert (= NULL_15.60.26 0))
+(assert (= Smt.true (is DOUBLELIT_57.43.26 T_int)))
+(assert (= DOUBLELIT_57.43.26 109))
+(assert (= Smt.true (is FIRST_KEYWORD_56.51.26 T_int)))
+(assert (= FIRST_KEYWORD_56.51.26 133))
+(assert (= Smt.true (is INTLIT_57.39.26 T_int)))
+(assert (= INTLIT_57.39.26 105))
+(assert (= Smt.true (is STMTPRAGMA_56.26.26 T_int)))
+(assert (= STMTPRAGMA_56.26.26 116))
+(assert (= Smt.true (is keywordStrings_56.181.30 (array T_java.lang.String))))
+(assert (not (= keywordStrings_56.181.30 null)))
+(assert (= (typeof keywordStrings_56.181.30) (array T_java.lang.String)))
+(assert (= (arrayLength keywordStrings_56.181.30) 51))
+(assert (= Smt.true (is FLOATLIT_57.42.26 T_int)))
+(assert (= FLOATLIT_57.42.26 108))
+(assert (= Smt.true (is BOOLEANLIT_57.38.26 T_int)))
+(assert (= BOOLEANLIT_57.38.26 104))
+(assert (= Smt.true (is otherStrings_56.193.30 (array T_java.lang.String))))
+(assert (not (= otherStrings_56.193.30 null)))
+(assert (= (typeof otherStrings_56.193.30) (array T_java.lang.String)))
+(assert (= (arrayLength otherStrings_56.193.30) 15))
+(assert (= Smt.true (is MODIFIERPRAGMA_56.25.26 T_int)))
+(assert (= MODIFIERPRAGMA_56.25.26 115))
+(assert (= Smt.true (is CHARLIT_57.41.26 T_int)))
+(assert (= CHARLIT_57.41.26 107))
+(assert (forall ((?n Int)) (! (=> (and (<= 0 ?n) (< ?n 63)) (<= 1 (longShiftL 1 ?n))) :pattern ((longShiftL 1 ?n)) )))
+(assert (forall ((?n Int)) (! (=> (and (<= 0 ?n) (< ?n 31)) (<= 1 (intShiftL 1 ?n))) :pattern ((intShiftL 1 ?n)) )))
+(assert (forall ((?x Int) (?y Int)) (! (=> (and (<= 0 ?x) (<= 0 ?y)) (<= 0 (integralXor ?x ?y))) :pattern ((integralXor ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (let ((?v_0 (integralDiv ?x ?y))) (=> (and (<= 0 ?x) (< 0 ?y)) (and (<= 0 ?v_0) (<= ?v_0 ?x)))) :pattern ((integralDiv ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (let ((?v_0 (integralOr ?x ?y))) (=> (and (<= 0 ?x) (<= 0 ?y)) (and (<= ?x ?v_0) (<= ?y ?v_0)))) :pattern ((integralOr ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (=> (<= 0 ?y) (<= (integralAnd ?x ?y) ?y)) :pattern ((integralAnd ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (=> (<= 0 ?x) (<= (integralAnd ?x ?y) ?x)) :pattern ((integralAnd ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (=> (or (<= 0 ?x) (<= 0 ?y)) (<= 0 (integralAnd ?x ?y))) :pattern ((integralAnd ?x ?y)) )))
+(assert (forall ((?t Int)) (! (let ((?v_0 (classLiteral ?t))) (and (not (= ?v_0 null)) (= Smt.true (is ?v_0 T_java.lang.Class)) (isAllocated ?v_0 alloc))) :pattern ((classLiteral ?t)) )))
+(assert (forall ((?x Int) (?e Int)) (= (nonnullelements ?x ?e) (and (not (= ?x null)) (forall ((?i Int)) (=> (and (<= 0 ?i) (< ?i (arrayLength ?x))) (not (= (select1 (select1 ?e ?x) ?i) null))))))))
+(assert (forall ((?b Int) (?x Int) (?y Int)) (! (=> (not (= ?b Smt.true)) (= (termConditional ?b ?x ?y) ?y)) :pattern ((termConditional ?b ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (= (termConditional Smt.true ?x ?y) ?x) :pattern ((termConditional Smt.true ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (= (= (refNE ?x ?y) Smt.true) (not (= ?x ?y))) :pattern ((refNE ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (= (= (refEQ ?x ?y) Smt.true) (= ?x ?y)) :pattern ((refEQ ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (= (= (integralNE ?x ?y) Smt.true) (not (= ?x ?y))) :pattern ((integralNE ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (= (= (integralLT ?x ?y) Smt.true) (< ?x ?y)) :pattern ((integralLT ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (= (= (integralLE ?x ?y) Smt.true) (<= ?x ?y)) :pattern ((integralLE ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (= (= (integralGT ?x ?y) Smt.true) (> ?x ?y)) :pattern ((integralGT ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (= (= (integralGE ?x ?y) Smt.true) (>= ?x ?y)) :pattern ((integralGE ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (let ((?v_0 (stringCat ?x ?y))) (and (not (= ?v_0 null)) (subtypes (typeof ?v_0) T_java.lang.String))) :pattern ((stringCat ?x ?y)) )))
+(assert (forall ((?x Int) (?y Int)) (! (= (= (integralEQ ?x ?y) Smt.true) (= ?x ?y)) :pattern ((integralEQ ?x ?y)) )))
+(assert (forall ((?a Int) (?b Int)) (= (boolOr ?a ?b) (or (= ?a Smt.true) (= ?b Smt.true)))))
+(assert (forall ((?a Int)) (= (boolNot ?a) (not (= ?a Smt.true)))))
+(assert (forall ((?a Int) (?b Int)) (= (boolNE ?a ?b) (not (= (= ?a Smt.true) (= ?b Smt.true))))))
+(assert (forall ((?a Int) (?b Int)) (= (boolImplies ?a ?b) (=> (= ?a Smt.true) (= ?b Smt.true)))))
+(assert (forall ((?a Int) (?b Int)) (= (boolEq ?a ?b) (= (= ?a Smt.true) (= ?b Smt.true)))))
+(assert (forall ((?a Int) (?b Int)) (= (boolAnd ?a ?b) (and (= ?a Smt.true) (= ?b Smt.true)))))
+(assert (forall ((?x Int) (?y Int)) (let ((?v_0 (multiply ?x ?y))) (= (multiply (integralDiv ?v_0 ?y) ?y) ?v_0))))
+(assert (forall ((?i Int) (?j Int)) (= (integralMod (+ ?j ?i) ?j) (integralMod ?i ?j))))
+(assert (forall ((?i Int) (?j Int)) (= (integralMod (+ ?i ?j) ?j) (integralMod ?i ?j))))
+(assert (forall ((?i Int) (?j Int)) (! (let ((?v_0 (integralMod ?i ?j))) (=> (< ?j 0) (and (< ?j ?v_0) (<= ?v_0 0)))) :pattern ((integralMod ?i ?j)) )))
+(assert (forall ((?i Int) (?j Int)) (! (let ((?v_0 (integralMod ?i ?j))) (=> (< 0 ?j) (and (<= 0 ?v_0) (< ?v_0 ?j)))) :pattern ((integralMod ?i ?j)) )))
+(assert (forall ((?i Int) (?j Int)) (! (= (+ (multiply (integralDiv ?i ?j) ?j) (integralMod ?i ?j)) ?i) :pattern ((integralMod ?i ?j)) :pattern ((integralDiv ?i ?j)) )))
+(assert (forall ((?s Int)) (! (=> (= Smt.true (isNewArray ?s)) (subtypes (typeof ?s) arrayType)) :pattern ((isNewArray ?s)) )))
+(assert (forall ((?t Int)) (! (subtypes (array ?t) arrayType) :pattern ((array ?t)) )))
+(assert (= arrayType (asChild arrayType T_java.lang.Object)))
+(assert (forall ((?a Int) (?a0 Int) (?b0 Int) (?e Int) (?n Int) (?T Int) (?v Int)) (! (= (arrayFresh ?a ?a0 ?b0 ?e (arrayShapeOne ?n) ?T ?v) (and (<= ?a0 (vAllocTime ?a)) (isAllocated ?a ?b0) (not (= ?a null)) (= (typeof ?a) ?T) (= (arrayLength ?a) ?n) (forall ((?i Int)) (! (= (select1 (select1 ?e ?a) ?i) ?v) :pattern ((select1 (select1 ?e ?a) ?i)) )))) :pattern ((arrayFresh ?a ?a0 ?b0 ?e (arrayShapeOne ?n) ?T ?v)) )))
+(assert (forall ((?a Int) (?a0 Int) (?b0 Int) (?e Int) (?n Int) (?s Int) (?T Int) (?v Int)) (! (= (arrayFresh ?a ?a0 ?b0 ?e (arrayShapeMore ?n ?s) ?T ?v) (and (<= ?a0 (vAllocTime ?a)) (isAllocated ?a ?b0) (not (= ?a null)) (= (typeof ?a) ?T) (= (arrayLength ?a) ?n) (forall ((?i Int)) (! (let ((?v_0 (select1 (select1 ?e ?a) ?i))) (and (arrayFresh ?v_0 ?a0 ?b0 ?e ?s (elemtype ?T) ?v) (= (arrayParent ?v_0) ?a) (= (arrayPosition ?v_0) ?i))) :pattern ((select1 (select1 ?e ?a) ?i)) )))) :pattern ((arrayFresh ?a ?a0 ?b0 ?e (arrayShapeMore ?n ?s) ?T ?v)) )))
+(assert (forall ((?a Int)) (! (let ((?v_0 (arrayLength ?a))) (and (<= 0 ?v_0) (= Smt.true (is ?v_0 T_int)))) :pattern ((arrayLength ?a)) )))
+(assert (forall ((?x Int)) (! (=> (subtypes (typeof ?x) T_java.lang.Object) (lockLE null ?x)) :pattern ((lockLE null ?x)) :pattern ((lockLT null ?x)) :pattern ((lockLE ?x null)) :pattern ((lockLT ?x null)) )))
+(assert (forall ((?S Int) (?mu Int)) (let ((?v_0 (asLockSet ?S))) (=> (= (select1 ?v_0 ?mu) Smt.true) (lockLE ?mu (max ?v_0))))))
+(assert (forall ((?x Int) (?y Int)) (= (lockLT ?x ?y) (< ?x ?y))))
+(assert (forall ((?x Int) (?y Int)) (= (lockLE ?x ?y) (<= ?x ?y))))
+(assert (forall ((?S Int)) (! (= (select1 (asLockSet ?S) null) Smt.true) :pattern ((asLockSet ?S)) )))
+(assert (forall ((?S Int)) (let ((?v_0 (asLockSet ?S))) (= (select1 ?v_0 (max ?v_0)) Smt.true))))
+(assert (forall ((?a Int) (?e Int) (?i Int) (?a0 Int)) (! (=> (and (< (eClosedTime ?e) ?a0) (isAllocated ?a ?a0)) (isAllocated (select1 (select1 ?e ?a) ?i) ?a0)) :pattern ((isAllocated (select1 (select1 ?e ?a) ?i) ?a0)) )))
+(assert (forall ((?x Int) (?f Int) (?a0 Int)) (! (=> (and (< (fClosedTime ?f) ?a0) (isAllocated ?x ?a0)) (isAllocated (select1 ?f ?x) ?a0)) :pattern ((isAllocated (select1 ?f ?x) ?a0)) )))
+(assert (forall ((?x Int) (?a0 Int)) (= (isAllocated ?x ?a0) (< (vAllocTime ?x) ?a0))))
+(assert (forall ((?e Int) (?a Int) (?i Int)) (! (= Smt.true (is (select1 (select1 (asElems ?e) ?a) ?i) (elemtype (typeof ?a)))) :pattern ((select1 (select1 (asElems ?e) ?a) ?i)) )))
+(assert (forall ((?f Int) (?t Int) (?x Int)) (! (= Smt.true (is (select1 (asField ?f ?t) ?x) ?t)) :pattern ((select1 (asField ?f ?t) ?x)) )))
+(assert (forall ((?x Int) (?t Int)) (! (=> (subtypes ?t T_java.lang.Object) (= (= Smt.true (is ?x ?t)) (or (= ?x null) (subtypes (typeof ?x) ?t)))) :pattern ((subtypes ?t T_java.lang.Object) (is ?x ?t)) )))
+(assert (< intLast longLast))
+(assert (< 1000000 intLast))
+(assert (< intFirst (- 1000000)))
+(assert (< longFirst intFirst))
+(assert (forall ((?x Int)) (! (= (= Smt.true (is ?x T_long)) (and (<= longFirst ?x) (<= ?x longLast))) :pattern ((is ?x T_long)) )))
+(assert (forall ((?x Int)) (! (= (= Smt.true (is ?x T_int)) (and (<= intFirst ?x) (<= ?x intLast))) :pattern ((is ?x T_int)) )))
+(assert (forall ((?x Int)) (= (= Smt.true (is ?x T_short)) (and (<= (- 32768) ?x) (<= ?x 32767)))))
+(assert (forall ((?x Int)) (= (= Smt.true (is ?x T_byte)) (and (<= (- 128) ?x) (<= ?x 127)))))
+(assert (forall ((?x Int)) (! (= (= Smt.true (is ?x T_char)) (and (<= 0 ?x) (<= ?x 65535))) :pattern ((is ?x T_char)) )))
+(assert (distinct bool_false Smt.true))
+(assert (forall ((?x Int) (?t Int)) (! (=> (= Smt.true (is ?x ?t)) (= (cast ?x ?t) ?x)) :pattern ((cast ?x ?t)) )))
+(assert (forall ((?x Int) (?t Int)) (! (= Smt.true (is (cast ?x ?t) ?t)) :pattern ((cast ?x ?t)) )))
+(assert (forall ((?t0 Int) (?t1 Int)) (! (let ((?v_0 (elemtype ?t0))) (= (subtypes ?t0 (array ?t1)) (and (= ?t0 (array ?v_0)) (subtypes ?v_0 ?t1)))) :pattern ((subtypes ?t0 (array ?t1))) )))
+(assert (forall ((?t Int)) (! (= (elemtype (array ?t)) ?t) :pattern ((elemtype (array ?t))) )))
+(assert (forall ((?t Int)) (! (subtypes (array ?t) T_java.lang.Cloneable) :pattern ((array ?t)) )))
+(assert (subtypes T_java.lang.Cloneable T_java.lang.Object))
+(assert (forall ((?t0 Int) (?t1 Int) (?t2 Int)) (let ((?v_0 (asChild ?t1 ?t2))) (=> (subtypes ?t0 ?v_0) (= (classDown ?t2 ?t0) ?v_0)))))
+(assert (forall ((?t Int)) (! (=> (subtypes T_double ?t) (= ?t T_double)) :pattern ((subtypes T_double ?t)) )))
+(assert (forall ((?t Int)) (! (=> (subtypes T_float ?t) (= ?t T_float)) :pattern ((subtypes T_float ?t)) )))
+(assert (forall ((?t Int)) (! (=> (subtypes T_long ?t) (= ?t T_long)) :pattern ((subtypes T_long ?t)) )))
+(assert (forall ((?t Int)) (! (=> (subtypes T_int ?t) (= ?t T_int)) :pattern ((subtypes T_int ?t)) )))
+(assert (forall ((?t Int)) (! (=> (subtypes T_short ?t) (= ?t T_short)) :pattern ((subtypes T_short ?t)) )))
+(assert (forall ((?t Int)) (! (=> (subtypes T_byte ?t) (= ?t T_byte)) :pattern ((subtypes T_byte ?t)) )))
+(assert (forall ((?t Int)) (! (=> (subtypes T_char ?t) (= ?t T_char)) :pattern ((subtypes T_char ?t)) )))
+(assert (forall ((?t Int)) (! (=> (subtypes T_boolean ?t) (= ?t T_boolean)) :pattern ((subtypes T_boolean ?t)) )))
+(assert (forall ((?t Int)) (! (=> (subtypes ?t T_double) (= ?t T_double)) :pattern ((subtypes ?t T_double)) )))
+(assert (forall ((?t Int)) (! (=> (subtypes ?t T_float) (= ?t T_float)) :pattern ((subtypes ?t T_float)) )))
+(assert (forall ((?t Int)) (! (=> (subtypes ?t T_long) (= ?t T_long)) :pattern ((subtypes ?t T_long)) )))
+(assert (forall ((?t Int)) (! (=> (subtypes ?t T_int) (= ?t T_int)) :pattern ((subtypes ?t T_int)) )))
+(assert (forall ((?t Int)) (! (=> (subtypes ?t T_short) (= ?t T_short)) :pattern ((subtypes ?t T_short)) )))
+(assert (forall ((?t Int)) (! (=> (subtypes ?t T_byte) (= ?t T_byte)) :pattern ((subtypes ?t T_byte)) )))
+(assert (forall ((?t Int)) (! (=> (subtypes ?t T_char) (= ?t T_char)) :pattern ((subtypes ?t T_char)) )))
+(assert (forall ((?t Int)) (! (=> (subtypes ?t T_boolean) (= ?t T_boolean)) :pattern ((subtypes ?t T_boolean)) )))
+(assert (forall ((?t0 Int) (?t1 Int)) (! (=> (and (subtypes ?t0 ?t1) (subtypes ?t1 ?t0)) (= ?t0 ?t1)) :pattern ((subtypes ?t0 ?t1) (subtypes ?t1 ?t0)) )))
+(assert (forall ((?t0 Int) (?t1 Int) (?t2 Int)) (! (=> (and (subtypes ?t0 ?t1) (subtypes ?t1 ?t2)) (subtypes ?t0 ?t2)) :pattern ((subtypes ?t0 ?t1) (subtypes ?t1 ?t2)) )))
+(assert (subtypes T_java.lang.Object T_java.lang.Object))
+(assert (forall ((?t Int)) (! (subtypes ?t ?t) :pattern ((subtypes ?t ?t)) )))
+(assert (forall ((?m Int) (?i Int) (?j Int) (?x Int)) (=> (not (= ?i ?j)) (= (select1 (store1 ?m ?i ?x) ?j) (select1 ?m ?j)))))
+(assert (forall ((?m Int) (?i Int) (?x Int)) (= (select1 (store1 ?m ?i ?x) ?i) ?x)))
+(assert (let ((?v_0 (array T_int)) (?v_1 (array T_java.lang.String)) (?v_4 (arrayLength punctuationStrings_56.134.22)) (?v_3 (arrayLength keywordStrings_56.181.30)) (?v_5 (arrayLength otherStrings_56.193.30)) (?v_7 (not (= cu_48.60 null))) (?v_2 (select1 owner_4.35.28 punctuationCodes_56.164.19)) (?v_9 (select1 decorationType_5.48.27 checkedField_30.33)) (?v_6 (not (= checkedField_30.33 null)))) (let ((?v_10 (not ?v_6)) (?v_11 (not ?v_7)) (?v_173 (= Smt.true (is RES_52.18_52.18 T_java.lang.Object))) (?v_174 (isAllocated RES_52.18_52.18 alloc)) (?v_8 (= EC_52.18_52.18 ecReturn))) (let ((?v_175 (=> ?v_8 (subtypes (typeof RES_52.18_52.18) ?v_9))) (?v_202 (not (= RES_52.18_52.18 null)))) (let ((?v_176 (not ?v_202)) (?v_17 (= Smt.true Smt.true)) (?v_177 (< alloc after_54.22_54.22)) (?v_178 (not (= RES_54.22_54.22 null))) (?v_179 (not (isAllocated RES_54.22_54.22 alloc))) (?v_180 (= Smt.true (is RES_54.22_54.22 T_java.lang.Boolean))) (?v_181 (isAllocated RES_54.22_54.22 after_54.22_54.22)) (?v_182 (= EC_54.22_54.22 ecReturn)) (?v_183 (= (select1 owner_4.35.28 RES_54.22_54.22) null)) (?v_12 (typeof RES_54.22_54.22))) (let ((?v_184 (= ?v_12 T_java.lang.Boolean)) (?v_13 (subtypes ?v_12 ?v_9)) (?v_185 (= EC_54.14_54.14 ecReturn)) (?v_14 (= loc_57.14_57.14_15.98.40 (select1 loc_6.30.13 cu_48.60))) (?v_15 (not (= loc_57.14_57.14_15.98.40 NULL_15.60.26))) (?v_186 (= Smt.true (is RES_57.14_57.14 T_java.lang.String))) (?v_187 (isAllocated RES_57.14_57.14 after_54.22_54.22)) (?v_16 (= EC_57.14_57.14 ecReturn))) (let ((?v_188 (=> ?v_16 (not (= RES_57.14_57.14 null)))) (?v_189 (= msg_56.6_56.6_16.69.34 (stringCat (stringCat S_56.10 RES_57.14_57.14) S_57.35))) (?v_190 (= EC_56.6_56.6 ecReturn)) (?v_191 (= EC_61.1_61.1 ecReturn)) (?v_192 (= EC_67.1_67.1 ecReturn)) (?v_193 (= imports_76.1 (select1 imports_6.25.37 cu_48.60))) (?v_194 (= elems_77.1 (select1 elems_6.27.35 cu_48.60))) (?v_195 (= EC_67.1_67.1 EC_loopold)) (?v_196 (= 0 i_loopold_88.10)) (?v_18 (not (= imports_76.1 null)))) (let ((?v_20 (not ?v_18)) (?v_149 (= Smt.true (is RES_88.1_0_88.29_88.29 T_int))) (?v_19 (= EC_88.1_0_88.29_88.29 ecReturn)) (?v_21 (select1 count_17.67.33 imports_76.1))) (let ((?v_150 (=> ?v_19 (= RES_88.1_0_88.29_88.29 ?v_21))) (?v_151 (< 0 RES_88.1_0_88.29_88.29)) (?v_48 (<= 0 0)) (?v_152 (= Smt.true (is RES_88.1_0_90.32_90.32 T_javafe.ast.ImportDecl))) (?v_153 (isAllocated RES_88.1_0_90.32_90.32 after_54.22_54.22)) (?v_22 (= EC_88.1_0_90.32_90.32 ecReturn)) (?v_23 (not (= RES_88.1_0_90.32_90.32 null)))) (let ((?v_154 (=> ?v_22 ?v_23)) (?v_24 (= Smt.true (is RES_88.1_0_90.32_90.32 T_javafe.ast.SingleTypeImportDecl))) (?v_26 (cast RES_88.1_0_90.32_90.32 T_javafe.ast.SingleTypeImportDecl))) (let ((?v_25 (not (= ?v_26 null))) (?v_155 (= N1_88.1_0_96.5 (select1 name_20.18.28 (select1 typeName_19.15.32 ?v_26)))) (?v_27 (not (= N1_88.1_0_96.5 null)))) (let ((?v_29 (not ?v_27)) (?v_156 (= Smt.true (is RES_88.1_0_97.40_97.40 T_int))) (?v_28 (= EC_88.1_0_97.40_97.40 ecReturn)) (?v_30 (select1 length_22.50.25 N1_88.1_0_96.5))) (let ((?v_157 (=> ?v_28 (= RES_88.1_0_97.40_97.40 ?v_30))) (?v_31 (= i_97.24_88.1_0_97.24_22.62.48 (- RES_88.1_0_97.40_97.40 1))) (?v_158 (= Smt.true (is RES_88.1_0_97.24_97.24 T_javafe.ast.Identifier))) (?v_159 (isAllocated RES_88.1_0_97.24_97.24 after_54.22_54.22)) (?v_32 (= EC_88.1_0_97.24_97.24 ecReturn))) (let ((?v_160 (=> ?v_32 (not (= RES_88.1_0_97.24_97.24 null)))) (?v_148 (+ 0 1))) (let ((?v_77 (= j_88.1_0_102.10 ?v_148)) (?v_78 (= EC_88.1_0_97.24_97.24 EC_loopold_88.1_0)) (?v_79 (= j_88.1_0_102.10 j_loopold_88.1_0_102.14)) (?v_53 (= Smt.true (is RES_88.1_0_102.5_0_102.35_102.35 T_int))) (?v_33 (= EC_88.1_0_102.5_0_102.35_102.35 ecReturn))) (let ((?v_54 (=> ?v_33 (= RES_88.1_0_102.5_0_102.35_102.35 ?v_21))) (?v_55 (< j_88.1_0_102.10 RES_88.1_0_102.5_0_102.35_102.35)) (?v_56 (= Smt.true (is RES_88.1_0_102.5_0_104.29_104.29 T_javafe.ast.ImportDecl))) (?v_57 (isAllocated RES_88.1_0_102.5_0_104.29_104.29 after_54.22_54.22)) (?v_34 (= EC_88.1_0_102.5_0_104.29_104.29 ecReturn)) (?v_35 (not (= RES_88.1_0_102.5_0_104.29_104.29 null)))) (let ((?v_58 (=> ?v_34 ?v_35)) (?v_36 (= Smt.true (is RES_88.1_0_102.5_0_104.29_104.29 T_javafe.ast.SingleTypeImportDecl))) (?v_38 (cast RES_88.1_0_102.5_0_104.29_104.29 T_javafe.ast.SingleTypeImportDecl))) (let ((?v_37 (not (= ?v_38 null))) (?v_59 (= N2_88.1_0_102.5_0_110.2 (select1 name_20.18.28 (select1 typeName_19.15.32 ?v_38)))) (?v_47 (= N2_88.1_0_102.5_0_110.2 null))) (let ((?v_39 (not ?v_47))) (let ((?v_41 (not ?v_39)) (?v_60 (= Smt.true (is RES_88.1_0_102.5_0_111.37_111.37 T_int))) (?v_40 (= EC_88.1_0_102.5_0_111.37_111.37 ecReturn)) (?v_42 (select1 length_22.50.25 N2_88.1_0_102.5_0_110.2))) (let ((?v_61 (=> ?v_40 (= RES_88.1_0_102.5_0_111.37_111.37 ?v_42))) (?v_43 (= i_111.21_88.1_0_102.5_0_111.21_22.62.48 (- RES_88.1_0_102.5_0_111.37_111.37 1))) (?v_62 (= Smt.true (is RES_88.1_0_102.5_0_111.21_111.21 T_javafe.ast.Identifier))) (?v_63 (isAllocated RES_88.1_0_102.5_0_111.21_111.21 after_54.22_54.22)) (?v_44 (= EC_88.1_0_102.5_0_111.21_111.21 ecReturn))) (let ((?v_64 (=> ?v_44 (not (= RES_88.1_0_102.5_0_111.21_111.21 null)))) (?v_45 (= RES_88.1_0_97.24_97.24 RES_88.1_0_102.5_0_111.21_111.21)) (?v_46 (= EC_88.1_0_102.5_0_113.21_113.21 ecReturn))) (let ((?v_65 (or (and ?v_45 ?v_27 (= Smt.true (is RES_88.1_0_102.5_0_113.21_113.21 T_boolean)) ?v_46 (=> (and ?v_46 ?v_47) (not (= Smt.true RES_88.1_0_102.5_0_113.21_113.21))) (= tmp9_cand_88.1_0_102.5_0_113.6 (boolNot RES_88.1_0_102.5_0_113.21_113.21)) (= RES RES_88.1_0_102.5_0_113.21_113.21) (= EC EC_88.1_0_102.5_0_113.21_113.21) (= tmp9_cand_113.13 tmp9_cand_88.1_0_102.5_0_113.6)) (and (not ?v_45) ?v_17 (= RES RES_88.1_0_102.5_0_111.21_111.21) (= EC EC_88.1_0_102.5_0_111.21_111.21) (= tmp9_cand_113.13 (= Smt.true bool_false))))) (?v_110 (not (and ?v_48 (< 0 ?v_30)))) (?v_66 (= Smt.true (is RES_88.1_0_102.5_0_114.24_114.24 T_int))) (?v_49 (= EC_88.1_0_102.5_0_114.24_114.24 ecReturn)) (?v_52 (not (= RES_88.1_0_102.5_0_114.24_114.24 NULL_15.60.26)))) (let ((?v_67 (=> ?v_49 ?v_52)) (?v_68 (= Smt.true (is RES_88.1_0_102.5_0_115.34_115.34 T_java.lang.String))) (?v_69 (isAllocated RES_88.1_0_102.5_0_115.34_115.34 after_54.22_54.22)) (?v_50 (= EC_88.1_0_102.5_0_115.34_115.34 ecReturn))) (let ((?v_70 (=> ?v_50 (not (= RES_88.1_0_102.5_0_115.34_115.34 null)))) (?v_71 (= tmp13_88.1_0_102.5_0_115.3 (stringCat (stringCat S_115.3 RES_88.1_0_102.5_0_115.34_115.34) S_116.9))) (?v_72 (= Smt.true (is RES_88.1_0_102.5_0_116.22_116.22 T_java.lang.String))) (?v_73 (isAllocated RES_88.1_0_102.5_0_116.22_116.22 after_54.22_54.22)) (?v_51 (= EC_88.1_0_102.5_0_116.22_116.22 ecReturn))) (let ((?v_74 (=> ?v_51 (not (= RES_88.1_0_102.5_0_116.22_116.22 null)))) (?v_75 (= msg_114.15_88.1_0_102.5_0_114.15_24.220.45 (stringCat (stringCat (stringCat (stringCat tmp13_88.1_0_102.5_0_115.3 RES_88.1_0_102.5_0_116.22_116.22) S_117.9) RES_88.1_0_97.24_97.24) S_118.9))) (?v_76 (= EC_88.1_0_102.5_0_114.15_114.15 ecReturn)) (?v_80 (not (and ?v_36 ?v_35))) (?v_81 (= C_102.5 C_102.5))) (let ((?v_82 (or (and ?v_18 ?v_56 ?v_57 ?v_34 ?v_58 ?v_36 ?v_35 ?v_17 ?v_36 ?v_37 ?v_59 ?v_39 ?v_60 ?v_40 ?v_61 ?v_39 ?v_43 ?v_62 ?v_63 ?v_44 ?v_64 ?v_65 (or (and tmp9_cand_113.13 ?v_17 ?v_27 ?v_66 ?v_49 ?v_67 ?v_27 ?v_68 ?v_69 ?v_50 ?v_70 ?v_71 ?v_39 ?v_72 ?v_73 ?v_51 ?v_74 ?v_75 ?v_52 ?v_76 (not ?v_76) (= RES_1_ RES_88.1_0_102.5_0_114.15_114.15) (= EC_1_ EC_88.1_0_102.5_0_114.15_114.15)) (and (not tmp9_cand_113.13) ?v_17 (= RES_1_ RES) (= EC_1_ EC))) (= RES_104.21 RES_1_) (= EC_104.21 EC_1_)) (and ?v_18 ?v_56 ?v_57 ?v_34 ?v_58 ?v_80 ?v_17 ?v_17 ?v_81 (= RES_104.21 RES_88.1_0_102.5_0_104.29_104.29) (= EC_104.21 C_102.5)))) (?v_83 (= j_88.1_0_102.5_0_102.43 (+ j_88.1_0_102.10 1))) (?v_84 (= EC_88.1_0_102.5_1_102.35_102.35 ecReturn)) (?v_85 (= EC_3_ L_102.5))) (let ((?v_161 (or (and ?v_17 (or (and ?v_18 ?v_53 ?v_33 ?v_54 (not ?v_55) (= RES_2_ RES_88.1_0_102.5_0_102.35_102.35) (= EC_2_ L_102.5)) (and ?v_18 ?v_53 ?v_33 ?v_54 ?v_55 ?v_18 ?v_56 ?v_57 ?v_34 ?v_58 ?v_80 ?v_17 ?v_17 (not ?v_81) (= RES_2_ RES_88.1_0_102.5_0_104.29_104.29) (= EC_2_ C_102.5))) (= RES_3_ RES_2_) (= EC_3_ EC_2_)) (and ?v_17 ?v_18 ?v_53 ?v_33 ?v_54 ?v_55 ?v_82 ?v_83 ?v_17 ?v_18 (= Smt.true (is RES_88.1_0_102.5_1_102.35_102.35 T_int)) ?v_84 (=> ?v_84 (= RES_88.1_0_102.5_1_102.35_102.35 ?v_21)) (not (< j_88.1_0_102.5_0_102.43 RES_88.1_0_102.5_1_102.35_102.35)) (= RES_3_ RES_88.1_0_102.5_1_102.35_102.35) ?v_85))) (?v_162 (= RES_4_ RES_3_)) (?v_163 (= EC_4_ EC_3_)) (?v_164 (= 0 j_loopold_88.1_0_126.14)) (?v_165 (= EC_4_ EC_loopold_88.1_0_1_)) (?v_86 (not (= elems_77.1 null)))) (let ((?v_88 (not ?v_86)) (?v_117 (= Smt.true (is RES_88.1_0_126.5_0_126.27_126.27 T_int))) (?v_87 (= EC_88.1_0_126.5_0_126.27_126.27 ecReturn)) (?v_89 (select1 count_25.67.33 elems_77.1))) (let ((?v_118 (=> ?v_87 (= RES_88.1_0_126.5_0_126.27_126.27 ?v_89))) (?v_119 (< 0 RES_88.1_0_126.5_0_126.27_126.27)) (?v_113 (not (and ?v_48 (< 0 ?v_89)))) (?v_120 (= Smt.true (is RES_88.1_0_126.5_0_127.18_127.18 T_javafe.ast.TypeDecl))) (?v_121 (isAllocated RES_88.1_0_126.5_0_127.18_127.18 after_54.22_54.22)) (?v_90 (= EC_88.1_0_126.5_0_127.18_127.18 ecReturn)) (?v_91 (not (= RES_88.1_0_126.5_0_127.18_127.18 null)))) (let ((?v_122 (=> ?v_90 ?v_91)) (?v_140 (not (= RES_88.1_0_97.24_97.24 (select1 id_26.32.34 RES_88.1_0_126.5_0_127.18_127.18))))) (let ((?v_123 (not ?v_140)) (?v_108 (select1 pkgName_6.21.14 cu_48.60))) (let ((?v_92 (= ?v_108 null))) (let ((?v_99 (not ?v_92)) (?v_100 (= Smt.true (is RES_88.1_0_126.5_0_135.13_135.13 T_int))) (?v_93 (= EC_88.1_0_126.5_0_135.13_135.13 ecReturn))) (let ((?v_101 (=> ?v_93 (= RES_88.1_0_126.5_0_135.13_135.13 ?v_30))) (?v_102 (> RES_88.1_0_126.5_0_135.13_135.13 1)) (?v_103 (= Smt.true (is RES_88.1_0_126.5_0_136.17_136.17 T_int))) (?v_94 (= EC_88.1_0_126.5_0_136.17_136.17 ecReturn))) (let ((?v_104 (=> ?v_94 (= RES_88.1_0_126.5_0_136.17_136.17 ?v_30))) (?v_95 (= len_136.7_88.1_0_126.5_0_136.7_22.171.36 (- RES_88.1_0_126.5_0_136.17_136.17 1))) (?v_105 (= Smt.true (is RES_88.1_0_126.5_0_136.7_136.7 T_javafe.ast.Name))) (?v_106 (isAllocated RES_88.1_0_126.5_0_136.7_136.7 after_54.22_54.22)) (?v_96 (= EC_88.1_0_126.5_0_136.7_136.7 ecReturn)) (?v_97 (not (= RES_88.1_0_126.5_0_136.7_136.7 null)))) (let ((?v_107 (=> ?v_96 ?v_97)) (?v_141 (= Smt.true (is RES_88.1_0_126.5_0_132.13_132.13 T_int))) (?v_98 (= EC_88.1_0_126.5_0_132.13_132.13 ecReturn))) (let ((?v_142 (=> ?v_98 (= RES_88.1_0_126.5_0_132.13_132.13 ?v_30))) (?v_143 (= RES_88.1_0_126.5_0_132.13_132.13 1)) (?v_109 (= EC_88.1_0_126.5_0_136.27_136.27 ecReturn))) (let ((?v_144 (or (and ?v_102 ?v_27 ?v_103 ?v_94 ?v_104 ?v_27 ?v_95 ?v_105 ?v_106 ?v_96 ?v_107 ?v_7 ?v_97 (= other_136.27_88.1_0_126.5_0_136.27_22.42.42 ?v_108) (= Smt.true (is RES_88.1_0_126.5_0_136.27_136.27 T_boolean)) ?v_109 (=> (and ?v_109 (= other_136.27_88.1_0_126.5_0_136.27_22.42.42 null)) (not (= Smt.true RES_88.1_0_126.5_0_136.27_136.27))) (= RES_6_ RES_88.1_0_126.5_0_136.27_136.27) (= tmp17_cand_135.22 RES_88.1_0_126.5_0_136.27_136.27) (= EC_6_ EC_88.1_0_126.5_0_136.27_136.27)) (and (not ?v_102) ?v_17 (= RES_6_ RES_88.1_0_126.5_0_135.13_135.13) (= tmp17_cand_135.22 bool_false) (= EC_6_ EC_88.1_0_126.5_0_135.13_135.13)))) (?v_145 (= Smt.true tmp17_cand_135.22))) (let ((?v_124 (or (and ?v_92 ?v_17 ?v_27 ?v_141 ?v_98 ?v_142 (not ?v_143) ?v_17 (= RES_5_ RES_88.1_0_126.5_0_132.13_132.13) (= EC_5_ EC_88.1_0_126.5_0_132.13_132.13)) (and ?v_99 ?v_17 ?v_27 ?v_100 ?v_93 ?v_101 ?v_144 (not ?v_145) ?v_17 (= RES_5_ RES_6_) (= EC_5_ EC_6_)))) (?v_125 (= Smt.true (is RES_88.1_0_126.5_0_140.27_140.27 T_int))) (?v_111 (= EC_88.1_0_126.5_0_140.27_140.27 ecReturn)) (?v_116 (not (= RES_88.1_0_126.5_0_140.27_140.27 NULL_15.60.26)))) (let ((?v_126 (=> ?v_111 ?v_116)) (?v_127 (= Smt.true (is RES_88.1_0_126.5_0_141.6_141.6 T_java.lang.String))) (?v_128 (isAllocated RES_88.1_0_126.5_0_141.6_141.6 after_54.22_54.22)) (?v_112 (= EC_88.1_0_126.5_0_141.6_141.6 ecReturn))) (let ((?v_129 (=> ?v_112 (not (= RES_88.1_0_126.5_0_141.6_141.6 null)))) (?v_130 (= tmp22_88.1_0_126.5_0_141.3 (stringCat (stringCat (stringCat RES_88.1_0_126.5_0_141.6_141.6 S_142.5) RES_88.1_0_97.24_97.24) S_143.10))) (?v_131 (= Smt.true (is RES_88.1_0_126.5_0_144.29_144.29 T_javafe.ast.TypeDecl))) (?v_132 (isAllocated RES_88.1_0_126.5_0_144.29_144.29 after_54.22_54.22)) (?v_114 (= EC_88.1_0_126.5_0_144.29_144.29 ecReturn)) (?v_115 (not (= RES_88.1_0_126.5_0_144.29_144.29 null)))) (let ((?v_133 (=> ?v_114 ?v_115)) (?v_134 (= loc_144.14_88.1_0_126.5_0_144.14_15.152.36 (select1 loc_26.45.13 RES_88.1_0_126.5_0_144.29_144.29))) (?v_135 (= Smt.true (is RES_88.1_0_126.5_0_144.14_144.14 T_java.lang.String))) (?v_136 (isAllocated RES_88.1_0_126.5_0_144.14_144.14 after_54.22_54.22)) (?v_137 (= EC_88.1_0_126.5_0_144.14_144.14 ecReturn)) (?v_138 (= msg_140.18_88.1_0_126.5_0_140.18_24.220.45 (stringCat tmp22_88.1_0_126.5_0_141.3 RES_88.1_0_126.5_0_144.14_144.14))) (?v_139 (= EC_88.1_0_126.5_0_140.18_140.18 ecReturn)) (?v_147 (= EC_127.12_1_ C_126.5)) (?v_146 (= EC_7_ C_126.5))) (let ((?v_166 (or (and ?v_140 ?v_17 ?v_17 (= RES_127.12_1_ RES_88.1_0_126.5_0_127.18_127.18) ?v_147) (and ?v_123 ?v_17 ?v_7 (or (and ?v_92 ?v_17 ?v_27 ?v_141 ?v_98 ?v_142 ?v_143 ?v_17 ?v_17 (= RES_7_ RES_88.1_0_126.5_0_132.13_132.13) ?v_146) (and ?v_99 ?v_17 ?v_27 ?v_100 ?v_93 ?v_101 ?v_144 ?v_145 ?v_17 ?v_17 (= RES_7_ RES_6_) ?v_146)) (= RES_127.12_1_ RES_7_) (= EC_127.12_1_ EC_7_))))) (let ((?v_167 (or (and ?v_86 ?v_120 ?v_121 ?v_90 ?v_122 ?v_91 ?v_123 ?v_17 ?v_7 ?v_124 ?v_27 ?v_125 ?v_111 ?v_126 ?v_27 ?v_127 ?v_128 ?v_112 ?v_129 ?v_130 ?v_86 ?v_131 ?v_132 ?v_114 ?v_133 ?v_115 ?v_134 ?v_135 ?v_136 ?v_137 ?v_138 ?v_116 ?v_139 (not ?v_139) (= RES_127.12 RES_88.1_0_126.5_0_140.18_140.18) (= EC_127.12 EC_88.1_0_126.5_0_140.18_140.18)) (and ?v_86 ?v_120 ?v_121 ?v_90 ?v_122 ?v_91 ?v_166 ?v_147 (= RES_127.12 RES_127.12_1_) (= EC_127.12 EC_127.12_1_)))) (?v_168 (= j_88.1_0_126.5_0_126.35 ?v_148)) (?v_169 (= EC_88.1_0_126.5_1_126.27_126.27 ecReturn)) (?v_170 (= EC_9_ L_126.5))) (let ((?v_171 (or (and ?v_17 (or (and ?v_86 ?v_117 ?v_87 ?v_118 (not ?v_119) (= RES_8_ RES_88.1_0_126.5_0_126.27_126.27) (= EC_8_ L_126.5)) (and ?v_86 ?v_117 ?v_87 ?v_118 ?v_119 ?v_86 ?v_120 ?v_121 ?v_90 ?v_122 ?v_91 ?v_166 (not ?v_147) (= RES_8_ RES_127.12_1_) (= EC_8_ EC_127.12_1_))) (= RES_9_ RES_8_) (= EC_9_ EC_8_)) (and ?v_17 ?v_86 ?v_117 ?v_87 ?v_118 ?v_119 ?v_167 ?v_168 ?v_17 ?v_86 (= Smt.true (is RES_88.1_0_126.5_1_126.27_126.27 T_int)) ?v_169 (=> ?v_169 (= RES_88.1_0_126.5_1_126.27_126.27 ?v_89)) (not (< j_88.1_0_126.5_0_126.35 RES_88.1_0_126.5_1_126.27_126.27)) (= RES_9_ RES_88.1_0_126.5_1_126.27_126.27) ?v_170))) (?v_172 (= EC_90.24_1_ C_88.1))) (let ((?v_197 (or (and (not (and ?v_24 ?v_23)) ?v_17 ?v_17 (= RES_90.24_1_ RES_88.1_0_90.32_90.32) ?v_172) (and ?v_24 ?v_23 ?v_17 ?v_24 ?v_25 ?v_155 ?v_27 ?v_156 ?v_28 ?v_157 ?v_27 ?v_31 ?v_158 ?v_159 ?v_32 ?v_160 (or (and ?v_77 ?v_78 ?v_79 ?v_161 (not ?v_85) (= RES_90.24_1_ RES_3_) (= EC_90.24_1_ EC_3_)) (and ?v_77 ?v_78 ?v_79 ?v_161 ?v_85 ?v_162 ?v_163 ?v_164 ?v_165 ?v_171 (not ?v_170) (= RES_90.24_1_ RES_9_) (= EC_90.24_1_ EC_9_))))))) (let ((?v_198 (or (and ?v_18 ?v_152 ?v_153 ?v_22 ?v_154 ?v_24 ?v_23 ?v_17 ?v_24 ?v_25 ?v_155 ?v_27 ?v_156 ?v_28 ?v_157 ?v_27 ?v_31 ?v_158 ?v_159 ?v_32 ?v_160 ?v_77 ?v_78 ?v_79 ?v_161 ?v_85 ?v_162 ?v_163 ?v_164 ?v_165 ?v_171 ?v_170 (= RES_10_ RES_9_) (= EC_10_ EC_9_) (= RES_90.24 RES_10_) (= EC_90.24 EC_10_)) (and ?v_18 ?v_152 ?v_153 ?v_22 ?v_154 ?v_197 ?v_172 (= RES_90.24 RES_90.24_1_) (= EC_90.24 EC_90.24_1_)))) (?v_199 (= i_88.1_0_88.37 ?v_148)) (?v_200 (= EC_88.1_1_88.29_88.29 ecReturn)) (?v_201 (= EC_12_ L_88.1))) (let ((?v_203 (or (and ?v_17 (or (and ?v_18 ?v_149 ?v_19 ?v_150 (not ?v_151) (= RES_11_ RES_88.1_0_88.29_88.29) (= EC_11_ L_88.1)) (and ?v_18 ?v_149 ?v_19 ?v_150 ?v_151 ?v_18 ?v_152 ?v_153 ?v_22 ?v_154 ?v_197 (not ?v_172) (= RES_11_ RES_90.24_1_) (= EC_11_ EC_90.24_1_))) (= EC_12_ EC_11_)) (and ?v_17 ?v_18 ?v_149 ?v_19 ?v_150 ?v_151 ?v_198 ?v_199 ?v_17 ?v_18 (= Smt.true (is RES_88.1_1_88.29_88.29 T_int)) ?v_200 (=> ?v_200 (= RES_88.1_1_88.29_88.29 ?v_21)) (not (< i_88.1_0_88.37 RES_88.1_1_88.29_88.29)) ?v_201))) (?v_204 (= EC_52.5 ecReturn))) (not (=> (and (distinct C_126.5 C_102.5 ecReturn C_88.1 L_126.5 L_102.5 L_88.1) (not (= S_118.9 null)) (= (typeof S_118.9) T_java.lang.String) (not (= S_57.35 null)) (= (typeof S_57.35) T_java.lang.String) (not (= S_117.9 null)) (= (typeof S_117.9) T_java.lang.String) (not (= S_143.10 null)) (= (typeof S_143.10) T_java.lang.String) (not (= S_56.10 null)) (= (typeof S_56.10) T_java.lang.String) (not (= S_142.5 null)) (= (typeof S_142.5) T_java.lang.String) (not (= S_116.9 null)) (= (typeof S_116.9) T_java.lang.String) (not (= S_115.3 null)) (= (typeof S_115.3) T_java.lang.String)) (=> (and (= NULL_pre_56.82.26 NULL_56.82.26) (= Smt.true (is NULL_56.82.26 T_int)) (= name_pre_20.18.28 name_20.18.28) (= name_20.18.28 (asField name_20.18.28 T_javafe.ast.Name)) (< (fClosedTime name_20.18.28) alloc) (forall ((?s Int)) (=> (not (= ?s null)) (not (= (select1 name_20.18.28 ?s) null)))) (= loc_pre_18.18.13 loc_18.18.13) (= loc_18.18.13 (asField loc_18.18.13 T_int)) (= TYPEMODIFIERPRAGMA_pre_56.28.26 TYPEMODIFIERPRAGMA_56.28.26) (= Smt.true (is TYPEMODIFIERPRAGMA_56.28.26 T_int)) (= locId_pre_86.38.13 locId_86.38.13) (= locId_86.38.13 (asField locId_86.38.13 T_int)) (= locId_pre_88.43.13 locId_88.43.13) (= locId_88.43.13 (asField locId_88.43.13 T_int)) (= pkgName_pre_6.21.14 pkgName_6.21.14) (= pkgName_6.21.14 (asField pkgName_6.21.14 T_javafe.ast.Name)) (< (fClosedTime pkgName_6.21.14) alloc) (= STRINGLIT_pre_57.44.26 STRINGLIT_57.44.26) (= Smt.true (is STRINGLIT_57.44.26 T_int)) (= IDENT_pre_57.25.26 IDENT_57.25.26) (= Smt.true (is IDENT_57.25.26 T_int)) (= imports_pre_6.25.37 imports_6.25.37) (= imports_6.25.37 (asField imports_6.25.37 T_javafe.ast.ImportDeclVec)) (< (fClosedTime imports_6.25.37) alloc) (forall ((?s_1_ Int)) (=> (not (= ?s_1_ null)) (not (= (select1 imports_6.25.37 ?s_1_) null)))) (= elements_pre_83.61.39 elements_83.61.39) (= elements_83.61.39 (asField elements_83.61.39 (array T_javafe.ast.MethodDecl))) (< (fClosedTime elements_83.61.39) alloc) (forall ((?s_2_ Int)) (=> (not (= ?s_2_ null)) (not (= (select1 elements_83.61.39 ?s_2_) null)))) (= count_pre_17.67.33 count_17.67.33) (= count_17.67.33 (asField count_17.67.33 T_int)) (= otherCodes_pre_56.202.27 otherCodes_56.202.27) (= Smt.true (is otherCodes_56.202.27 ?v_0)) (isAllocated otherCodes_56.202.27 alloc) (= LAST_KEYWORD_pre_56.103.26 LAST_KEYWORD_56.103.26) (= Smt.true (is LAST_KEYWORD_56.103.26 T_int)) (= locType_pre_87.21.13 locType_87.21.13) (= locType_87.21.13 (asField locType_87.21.13 T_int)) (= punctuationStrings_pre_56.134.22 punctuationStrings_56.134.22) (= Smt.true (is punctuationStrings_56.134.22 ?v_1)) (isAllocated punctuationStrings_56.134.22 alloc) (= punctuationCodes_pre_56.164.19 punctuationCodes_56.164.19) (= Smt.true (is punctuationCodes_56.164.19 ?v_0)) (isAllocated punctuationCodes_56.164.19 alloc) (= loc_pre_26.45.13 loc_26.45.13) (= loc_26.45.13 (asField loc_26.45.13 T_int)) (= whereDecoration_pre_35.597.41 whereDecoration_35.597.41) (= Smt.true (is whereDecoration_35.597.41 T_javafe.ast.ASTDecoration)) (isAllocated whereDecoration_35.597.41 alloc) (= noTokens_pre_56.212.27 noTokens_56.212.27) (= Smt.true (is noTokens_56.212.27 T_int)) (= LEXICALPRAGMA_pre_56.24.26 LEXICALPRAGMA_56.24.26) (= Smt.true (is LEXICALPRAGMA_56.24.26 T_int)) (= syntax_pre_21.28.29 syntax_21.28.29) (= syntax_21.28.29 (asField syntax_21.28.29 T_boolean)) (= locOpenBrace_pre_97.22.13 locOpenBrace_97.22.13) (= locOpenBrace_97.22.13 (asField locOpenBrace_97.22.13 T_int)) (= LONGLIT_pre_57.40.26 LONGLIT_57.40.26) (= Smt.true (is LONGLIT_57.40.26 T_int)) (= tokenType_pre_23.90.8 tokenType_23.90.8) (= tokenType_23.90.8 (asField tokenType_23.90.8 T_int)) (= sigDecoration_pre_33.104.38 sigDecoration_33.104.38) (= Smt.true (is sigDecoration_33.104.38 T_javafe.ast.ASTDecoration)) (isAllocated sigDecoration_33.104.38 alloc) (= locId_pre_26.48.13 locId_26.48.13) (= locId_26.48.13 (asField locId_26.48.13 T_int)) (= locOpenBrace_pre_26.51.13 locOpenBrace_26.51.13) (= locOpenBrace_26.51.13 (asField locOpenBrace_26.51.13 T_int)) (= elements_pre_82.61.38 elements_82.61.38) (= elements_82.61.38 (asField elements_82.61.38 (array T_javafe.ast.FieldDecl))) (< (fClosedTime elements_82.61.38) alloc) (forall ((?s_3_ Int)) (=> (not (= ?s_3_ null)) (not (= (select1 elements_82.61.38 ?s_3_) null)))) (= locCloseBrace_pre_26.54.13 locCloseBrace_26.54.13) (= locCloseBrace_26.54.13 (asField locCloseBrace_26.54.13 T_int)) (= TYPEDECLELEMPRAGMA_pre_56.27.26 TYPEDECLELEMPRAGMA_56.27.26) (= Smt.true (is TYPEDECLELEMPRAGMA_56.27.26 T_int)) (= locCloseBrace_pre_97.25.13 locCloseBrace_97.25.13) (= locCloseBrace_97.25.13 (asField locCloseBrace_97.25.13 T_int)) (= NULL_pre_15.60.26 NULL_15.60.26) (= Smt.true (is NULL_15.60.26 T_int)) (= DOUBLELIT_pre_57.43.26 DOUBLELIT_57.43.26) (= Smt.true (is DOUBLELIT_57.43.26 T_int)) (= typeName_pre_19.15.32 typeName_19.15.32) (= typeName_19.15.32 (asField typeName_19.15.32 T_javafe.ast.TypeName)) (< (fClosedTime typeName_19.15.32) alloc) (forall ((?s_4_ Int)) (=> (not (= ?s_4_ null)) (not (= (select1 typeName_19.15.32 ?s_4_) null)))) (= FIRST_KEYWORD_pre_56.51.26 FIRST_KEYWORD_56.51.26) (= Smt.true (is FIRST_KEYWORD_56.51.26 T_int)) (= count_pre_25.67.33 count_25.67.33) (= count_25.67.33 (asField count_25.67.33 T_int)) (= length_pre_22.50.25 length_22.50.25) (= length_22.50.25 (asField length_22.50.25 T_int)) (= loc_pre_6.30.13 loc_6.30.13) (= loc_6.30.13 (asField loc_6.30.13 T_int)) (= elems_pre_6.27.35 elems_6.27.35) (= elems_6.27.35 (asField elems_6.27.35 T_javafe.ast.TypeDeclVec)) (< (fClosedTime elems_6.27.35) alloc) (forall ((?s_5_ Int)) (=> (not (= ?s_5_ null)) (not (= (select1 elems_6.27.35 ?s_5_) null)))) (= INTLIT_pre_57.39.26 INTLIT_57.39.26) (= Smt.true (is INTLIT_57.39.26 T_int)) (= STMTPRAGMA_pre_56.26.26 STMTPRAGMA_56.26.26) (= Smt.true (is STMTPRAGMA_56.26.26 T_int)) (= returnType_pre_87.18.28 returnType_87.18.28) (= returnType_87.18.28 (asField returnType_87.18.28 T_javafe.ast.Type)) (< (fClosedTime returnType_87.18.28) alloc) (forall ((?s_6_ Int)) (=> (not (= ?s_6_ null)) (not (= (select1 returnType_87.18.28 ?s_6_) null)))) (= keywordStrings_pre_56.181.30 keywordStrings_56.181.30) (= Smt.true (is keywordStrings_56.181.30 ?v_1)) (isAllocated keywordStrings_56.181.30 alloc) (= elements_pre_17.61.39 elements_17.61.39) (= elements_17.61.39 (asField elements_17.61.39 (array T_javafe.ast.ImportDecl))) (< (fClosedTime elements_17.61.39) alloc) (forall ((?s_7_ Int)) (=> (not (= ?s_7_ null)) (not (= (select1 elements_17.61.39 ?s_7_) null)))) (= FLOATLIT_pre_57.42.26 FLOATLIT_57.42.26) (= Smt.true (is FLOATLIT_57.42.26 T_int)) (= owner_pre_4.35.28 owner_4.35.28) (= owner_4.35.28 (asField owner_4.35.28 T_java.lang.Object)) (< (fClosedTime owner_4.35.28) alloc) (= count_pre_83.67.33 count_83.67.33) (= count_83.67.33 (asField count_83.67.33 T_int)) (= typeEnv_pre_35.323.32 typeEnv_35.323.32) (= Smt.true (is typeEnv_35.323.32 T_javafe.ast.ASTDecoration)) (isAllocated typeEnv_35.323.32 alloc) (= checkedField_pre_30.33 checkedField_30.33) (= Smt.true (is checkedField_30.33 T_javafe.ast.ASTDecoration)) (isAllocated checkedField_30.33 alloc) (= locOpenBrace_pre_88.36.13 locOpenBrace_88.36.13) (= locOpenBrace_88.36.13 (asField locOpenBrace_88.36.13 T_int)) (= BOOLEANLIT_pre_57.38.26 BOOLEANLIT_57.38.26) (= Smt.true (is BOOLEANLIT_57.38.26 T_int)) (= inst_pre_36.29.44 inst_36.29.44) (= Smt.true (is inst_36.29.44 T_javafe.ast.PrettyPrint)) (isAllocated inst_36.29.44 alloc) (not (= inst_36.29.44 null)) (= elements_pre_25.61.37 elements_25.61.37) (= elements_25.61.37 (asField elements_25.61.37 (array T_javafe.ast.TypeDecl))) (< (fClosedTime elements_25.61.37) alloc) (forall ((?s_8_ Int)) (=> (not (= ?s_8_ null)) (not (= (select1 elements_25.61.37 ?s_8_) null)))) (= body_pre_88.34.19 body_88.34.19) (= body_88.34.19 (asField body_88.34.19 T_javafe.ast.BlockStmt)) (< (fClosedTime body_88.34.19) alloc) (= modifiers_pre_26.28.13 modifiers_26.28.13) (= modifiers_26.28.13 (asField modifiers_26.28.13 T_int)) (= count_pre_82.67.33 count_82.67.33) (= count_82.67.33 (asField count_82.67.33 T_int)) (= id_pre_26.32.34 id_26.32.34) (= id_26.32.34 (asField id_26.32.34 T_javafe.ast.Identifier)) (< (fClosedTime id_26.32.34) alloc) (forall ((?s_9_ Int)) (=> (not (= ?s_9_ null)) (not (= (select1 id_26.32.34 ?s_9_) null)))) (= otherStrings_pre_56.193.30 otherStrings_56.193.30) (= Smt.true (is otherStrings_56.193.30 ?v_1)) (isAllocated otherStrings_56.193.30 alloc) (= MODIFIERPRAGMA_pre_56.25.26 MODIFIERPRAGMA_56.25.26) (= Smt.true (is MODIFIERPRAGMA_56.25.26 T_int)) (= decorationType_pre_5.48.27 decorationType_5.48.27) (= decorationType_5.48.27 (asField decorationType_5.48.27 T_.TYPE)) (= type_pre_86.35.28 type_86.35.28) (= type_86.35.28 (asField type_86.35.28 T_javafe.ast.Type)) (< (fClosedTime type_86.35.28) alloc) (forall ((?s_10_ Int)) (=> (not (= ?s_10_ null)) (not (= (select1 type_86.35.28 ?s_10_) null)))) (= loc_pre_88.40.13 loc_88.40.13) (= loc_88.40.13 (asField loc_88.40.13 T_int)) (= CHARLIT_pre_57.41.26 CHARLIT_57.41.26) (= Smt.true (is CHARLIT_57.41.26 T_int)) (= elems_pre elems) (= elems (asElems elems)) (< (eClosedTime elems) alloc) (= LS (asLockSet LS)) (= alloc_pre alloc)) (not (and (= Smt.true (is cu_48.60 T_javafe.ast.CompilationUnit)) (isAllocated cu_48.60 alloc) ?v_7 (forall ((?i_56.147.29 Int)) (=> (and (<= 0 ?i_56.147.29) (<= ?i_56.147.29 (arrayLength punctuationCodes_56.164.19))) (not (= (select1 (select1 elems punctuationCodes_56.164.19) ?i_56.147.29) NULL_56.82.26)))) (forall ((?brokenObj Int)) (=> (and (= Smt.true (is ?brokenObj T_javafe.ast.TypeDeclVec)) (not (= ?brokenObj null))) (= (typeof (select1 elements_25.61.37 ?brokenObj)) (array T_javafe.ast.TypeDecl)))) (forall ((?brokenObj_1_ Int)) (=> (and (= Smt.true (is ?brokenObj_1_ T_javafe.ast.ImportDeclVec)) (not (= ?brokenObj_1_ null))) (= (select1 owner_4.35.28 (select1 elements_17.61.39 ?brokenObj_1_)) ?brokenObj_1_))) (= Smt.true (is ?v_2 T_javafe.parser.TagConstants)) (not (= ?v_2 null)) (= (arrayLength punctuationCodes_56.164.19) ?v_4) (forall ((?brokenObj_2_ Int)) (=> (and (= Smt.true (is ?brokenObj_2_ T_javafe.ast.ImportDeclVec)) (not (= ?brokenObj_2_ null))) (forall ((?i_17.62.31 Int)) (=> (and (<= 0 ?i_17.62.31) (< ?i_17.62.31 (select1 count_17.67.33 ?brokenObj_2_))) (not (= (select1 (select1 elems (select1 elements_17.61.39 ?brokenObj_2_)) ?i_17.62.31) null)))))) (= ?v_9 T_java.lang.Boolean) (= ?v_3 (- (+ 1 LAST_KEYWORD_56.103.26) FIRST_KEYWORD_56.51.26)) (forall ((?brokenObj_3_ Int)) (=> (and (= Smt.true (is ?brokenObj_3_ T_javafe.ast.CompilationUnit)) (not (= ?brokenObj_3_ null))) (not (= (select1 loc_6.30.13 ?brokenObj_3_) NULL_15.60.26)))) (forall ((?brokenObj_4_ Int)) (let ((?v_205 (select1 tokenType_23.90.8 ?brokenObj_4_))) (=> (and (= Smt.true (is ?brokenObj_4_ T_javafe.ast.Identifier)) (not (= ?brokenObj_4_ null))) (and (not (= ?v_205 BOOLEANLIT_57.38.26)) (not (= ?v_205 INTLIT_57.39.26)) (not (= ?v_205 LONGLIT_57.40.26)) (not (= ?v_205 FLOATLIT_57.42.26)) (not (= ?v_205 DOUBLELIT_57.43.26)) (not (= ?v_205 STRINGLIT_57.44.26)) (not (= ?v_205 CHARLIT_57.41.26)) (not (= ?v_205 LEXICALPRAGMA_56.24.26)) (not (= ?v_205 MODIFIERPRAGMA_56.25.26)) (not (= ?v_205 STMTPRAGMA_56.26.26)) (not (= ?v_205 TYPEDECLELEMPRAGMA_56.27.26)) (not (= ?v_205 TYPEMODIFIERPRAGMA_56.28.26)))))) (nonnullelements otherStrings_56.193.30 elems) ?v_6 (forall ((?brokenObj_5_ Int)) (=> (and (= Smt.true (is ?brokenObj_5_ T_javafe.ast.TypeDeclVec)) (not (= ?brokenObj_5_ null))) (<= 0 (select1 count_25.67.33 ?brokenObj_5_)))) (forall ((?brokenObj_6_ Int)) (=> (and (= Smt.true (is ?brokenObj_6_ T_javafe.ast.ImportDeclVec)) (not (= ?brokenObj_6_ null))) (<= (select1 count_17.67.33 ?brokenObj_6_) (arrayLength (select1 elements_17.61.39 ?brokenObj_6_))))) (= (arrayLength otherCodes_56.202.27) ?v_5) (forall ((?brokenObj_7_ Int)) (=> (and (= Smt.true (is ?brokenObj_7_ T_javafe.ast.ImportDeclVec)) (not (= ?brokenObj_7_ null))) (= (typeof (select1 elements_17.61.39 ?brokenObj_7_)) (array T_javafe.ast.ImportDecl)))) (forall ((?i_56.149.29 Int)) (let ((?v_206 (select1 (select1 elems punctuationCodes_56.164.19) ?i_56.149.29))) (=> (and (<= 0 ?i_56.149.29) (<= ?i_56.149.29 (arrayLength punctuationCodes_56.164.19))) (and (not (= ?v_206 IDENT_57.25.26)) (not (= ?v_206 BOOLEANLIT_57.38.26)) (not (= ?v_206 INTLIT_57.39.26)) (not (= ?v_206 LONGLIT_57.40.26)) (not (= ?v_206 FLOATLIT_57.42.26)) (not (= ?v_206 DOUBLELIT_57.43.26)) (not (= ?v_206 STRINGLIT_57.44.26)) (not (= ?v_206 CHARLIT_57.41.26)) (not (= ?v_206 LEXICALPRAGMA_56.24.26)) (not (= ?v_206 MODIFIERPRAGMA_56.25.26)) (not (= ?v_206 STMTPRAGMA_56.26.26)) (not (= ?v_206 TYPEDECLELEMPRAGMA_56.27.26)) (not (= ?v_206 TYPEMODIFIERPRAGMA_56.28.26)))))) (forall ((?brokenObj_8_ Int)) (=> (and (= Smt.true (is ?brokenObj_8_ T_javafe.ast.TypeDeclVec)) (not (= ?brokenObj_8_ null))) (= (select1 owner_4.35.28 (select1 elements_25.61.37 ?brokenObj_8_)) ?brokenObj_8_))) (forall ((?brokenObj_9_ Int)) (=> (and (= Smt.true (is ?brokenObj_9_ T_javafe.ast.ImportDecl)) (not (= ?brokenObj_9_ null))) (not (= (select1 loc_18.18.13 ?brokenObj_9_) NULL_15.60.26)))) (forall ((?brokenObj_10_ Int)) (=> (and (= Smt.true (is ?brokenObj_10_ T_javafe.ast.Name)) (not (= ?brokenObj_10_ null))) (>= (select1 length_22.50.25 ?brokenObj_10_) 1))) (forall ((?brokenObj_11_ Int)) (=> (and (= Smt.true (is ?brokenObj_11_ T_javafe.ast.TypeDeclVec)) (not (= ?brokenObj_11_ null))) (forall ((?i_25.62.31 Int)) (=> (and (<= 0 ?i_25.62.31) (< ?i_25.62.31 (select1 count_25.67.33 ?brokenObj_11_))) (not (= (select1 (select1 elems (select1 elements_25.61.37 ?brokenObj_11_)) ?i_25.62.31) null)))))) (forall ((?brokenObj_12_ Int)) (=> (and (= Smt.true (is ?brokenObj_12_ T_javafe.ast.TypeDecl)) (not (= ?brokenObj_12_ null))) (not (= (select1 loc_26.45.13 ?brokenObj_12_) NULL_15.60.26)))) (nonnullelements punctuationStrings_56.134.22 elems) (forall ((?brokenObj_13_ Int)) (=> (and (= Smt.true (is ?brokenObj_13_ T_javafe.ast.ImportDeclVec)) (not (= ?brokenObj_13_ null))) (<= 0 (select1 count_17.67.33 ?brokenObj_13_)))) (forall ((?brokenObj_14_ Int)) (=> (and (= Smt.true (is ?brokenObj_14_ T_javafe.ast.TypeDeclVec)) (not (= ?brokenObj_14_ null))) (<= (select1 count_25.67.33 ?brokenObj_14_) (arrayLength (select1 elements_25.61.37 ?brokenObj_14_))))) (nonnullelements keywordStrings_56.181.30 elems) (= noTokens_56.212.27 (+ (+ ?v_3 ?v_4) ?v_5)) (forall ((?brokenObj_15_ Int)) (=> (and (= Smt.true (is ?brokenObj_15_ T_javafe.ast.TypeDecl)) (not (= ?brokenObj_15_ null))) (not (= (select1 locCloseBrace_26.54.13 ?brokenObj_15_) NULL_15.60.26)))) (forall ((?brokenObj_16_ Int)) (=> (and (= Smt.true (is ?brokenObj_16_ T_javafe.ast.TypeDecl)) (not (= ?brokenObj_16_ null))) (not (= (select1 locId_26.48.13 ?brokenObj_16_) NULL_15.60.26)))) (forall ((?brokenObj_17_ Int)) (=> (and (= Smt.true (is ?brokenObj_17_ T_javafe.ast.TypeDecl)) (not (= ?brokenObj_17_ null))) (not (= (select1 locOpenBrace_26.51.13 ?brokenObj_17_) NULL_15.60.26)))) (or ?v_10 (and ?v_6 (or ?v_11 (and ?v_7 ?v_173 ?v_174 ?v_8 ?v_175 ?v_176 ?v_17 ?v_177 ?v_178 ?v_179 ?v_180 ?v_181 ?v_182 ?v_183 ?v_184 (or ?v_10 (and ?v_6 (or ?v_11 (and ?v_7 (not ?v_13)) (and ?v_7 ?v_13 ?v_185 (or ?v_11 (and ?v_7 (or (and ?v_14 (not ?v_15)) (and ?v_14 ?v_15 ?v_186 ?v_187 ?v_16 ?v_188 ?v_189 ?v_190 (or ?v_11 (and ?v_7 ?v_191 (or ?v_11 (and ?v_7 ?v_192 (or ?v_11 (and ?v_7 ?v_193 (or ?v_11 (and ?v_7 ?v_194 ?v_195 ?v_196 (or (and ?v_17 (or ?v_20 (and ?v_18 ?v_149 ?v_19 ?v_150 ?v_151 (or ?v_20 (and ?v_18 (or (not (and ?v_48 (< 0 ?v_21))) (and ?v_152 ?v_153 ?v_22 ?v_154 ?v_24 ?v_23 ?v_17 (or (not ?v_24) (and ?v_24 (or (not ?v_25) (and ?v_25 ?v_155 (or ?v_29 (and ?v_27 ?v_156 ?v_28 ?v_157 (or ?v_29 (and ?v_27 (or (and ?v_31 (not (and (<= 0 i_97.24_88.1_0_97.24_22.62.48) (< i_97.24_88.1_0_97.24_22.62.48 ?v_30)))) (and ?v_31 ?v_158 ?v_159 ?v_32 ?v_160 (or (and ?v_77 ?v_78 ?v_79 (or (and ?v_17 (or ?v_20 (and ?v_18 ?v_53 ?v_33 ?v_54 ?v_55 (or ?v_20 (and ?v_18 (or (not (and (<= 0 j_88.1_0_102.10) (< j_88.1_0_102.10 ?v_21))) (and ?v_56 ?v_57 ?v_34 ?v_58 ?v_36 ?v_35 ?v_17 (or (not ?v_36) (and ?v_36 (or (not ?v_37) (and ?v_37 ?v_59 (or ?v_41 (and ?v_39 ?v_60 ?v_40 ?v_61 (or ?v_41 (and ?v_39 (or (and ?v_43 (not (and (<= 0 i_111.21_88.1_0_102.5_0_111.21_22.62.48) (< i_111.21_88.1_0_102.5_0_111.21_22.62.48 ?v_42)))) (and ?v_43 ?v_62 ?v_63 ?v_44 ?v_64 (or (and ?v_45 ?v_29) (and ?v_65 tmp9_cand_113.13 ?v_17 (or ?v_29 (and ?v_27 (or ?v_110 (and ?v_66 ?v_49 ?v_67 (or ?v_29 (and ?v_27 ?v_68 ?v_69 ?v_50 ?v_70 ?v_71 (or ?v_41 (and ?v_39 ?v_72 ?v_73 ?v_51 ?v_74 ?v_75 (not ?v_52)))))))))))))))))))))))))))) (and ?v_17 ?v_18 ?v_53 ?v_33 ?v_54 ?v_55 ?v_82 ?v_83 ?v_17 ?v_20))) (and ?v_77 ?v_78 ?v_79 ?v_161 ?v_85 ?v_162 ?v_163 ?v_164 ?v_165 (or (and ?v_17 (or ?v_88 (and ?v_86 ?v_117 ?v_87 ?v_118 ?v_119 (or ?v_88 (and ?v_86 (or ?v_113 (and ?v_120 ?v_121 ?v_90 ?v_122 (or (not ?v_91) (and ?v_91 ?v_123 ?v_17 (or ?v_11 (and ?v_7 (or (and ?v_92 ?v_17 ?v_29) (and ?v_99 ?v_17 (or ?v_29 (and ?v_27 ?v_100 ?v_93 ?v_101 ?v_102 (or ?v_29 (and ?v_27 ?v_103 ?v_94 ?v_104 (or ?v_29 (and ?v_27 (or (and ?v_95 (not (and (< 0 len_136.7_88.1_0_126.5_0_136.7_22.171.36) (<= len_136.7_88.1_0_126.5_0_136.7_22.171.36 ?v_30)))) (and ?v_95 ?v_105 ?v_106 ?v_96 ?v_107 (or ?v_11 (and ?v_7 (not ?v_97)))))))))))) (and ?v_124 (or ?v_29 (and ?v_27 (or ?v_110 (and ?v_125 ?v_111 ?v_126 (or ?v_29 (and ?v_27 ?v_127 ?v_128 ?v_112 ?v_129 ?v_130 (or ?v_88 (and ?v_86 (or ?v_113 (and ?v_131 ?v_132 ?v_114 ?v_133 (or (not ?v_115) (and ?v_115 ?v_134 ?v_135 ?v_136 ?v_137 ?v_138 (not ?v_116)))))))))))))))))))))))))) (and ?v_17 ?v_86 ?v_117 ?v_87 ?v_118 ?v_119 ?v_167 ?v_168 ?v_17 ?v_88))))))))))))))))))))) (and ?v_17 ?v_18 ?v_149 ?v_19 ?v_150 ?v_151 ?v_198 ?v_199 ?v_17 ?v_20))))))))))))))))))))) (and (or (and ?v_6 ?v_7 ?v_173 ?v_174 ?v_8 ?v_175 ?v_176 ?v_17 ?v_177 ?v_178 ?v_179 ?v_180 ?v_181 ?v_182 ?v_183 ?v_184 ?v_6 ?v_7 ?v_13 ?v_185 ?v_7 ?v_14 ?v_15 ?v_186 ?v_187 ?v_16 ?v_188 ?v_189 ?v_190 ?v_7 ?v_191 ?v_7 ?v_192 ?v_7 ?v_193 ?v_7 ?v_194 ?v_195 ?v_196 ?v_203 ?v_201 (= EC_13_ EC_12_) ?v_204) (and ?v_6 ?v_7 ?v_173 ?v_174 ?v_8 ?v_175 (or (and ?v_202 ?v_17 ?v_17 (= EC_52.5_1_ ecReturn)) (and ?v_176 ?v_17 ?v_177 ?v_178 ?v_179 ?v_180 ?v_181 ?v_182 ?v_183 ?v_184 ?v_6 ?v_7 ?v_13 ?v_185 ?v_7 ?v_14 ?v_15 ?v_186 ?v_187 ?v_16 ?v_188 ?v_189 ?v_190 ?v_7 ?v_191 ?v_7 ?v_192 ?v_7 ?v_193 ?v_7 ?v_194 ?v_195 ?v_196 ?v_203 (not ?v_201) (= EC_52.5_1_ EC_12_))) (= EC_52.5 EC_52.5_1_))) (not ?v_204)))))))))))))))))))))))))))))))))))))))))))))))))))))))))
+(check-sat)
+(exit)
diff --git a/test/regress/regress2/quantifiers/javafe.tc.FlowInsensitiveChecks.682.smt2 b/test/regress/regress2/quantifiers/javafe.tc.FlowInsensitiveChecks.682.smt2
new file mode 100644
index 000000000..2867e618f
--- /dev/null
+++ b/test/regress/regress2/quantifiers/javafe.tc.FlowInsensitiveChecks.682.smt2
@@ -0,0 +1,1193 @@
+(set-logic AUFLIA)
+(set-info :source | Simplify Theorem Prover Benchmark Suite |)
+(set-info :smt-lib-version 2.0)
+(set-info :category "industrial")
+(set-info :status unsat)
+(declare-fun true_term () Int)
+(declare-fun false_term () Int)
+(assert (= true_term 1))
+(assert (= false_term 0))
+(declare-fun S_select (Int Int) Int)
+(declare-fun S_store (Int Int Int) Int)
+(assert (forall ((?m Int) (?i Int) (?x Int)) (= (S_select (S_store ?m ?i ?x) ?i) ?x)))
+(assert (forall ((?m Int) (?i Int) (?j Int) (?x Int)) (=> (not (= ?i ?j)) (= (S_select (S_store ?m ?i ?x) ?j) (S_select ?m ?j)))))
+(declare-fun PO_LT (Int Int) Int)
+(assert (forall ((?t Int)) (= (PO_LT ?t ?t) true_term)))
+(declare-fun T_java_lang_Object () Int)
+(assert (= (PO_LT T_java_lang_Object T_java_lang_Object) true_term))
+(assert (forall ((?t0 Int) (?t1 Int) (?t2 Int)) (=> (and (= (PO_LT ?t0 ?t1) true_term) (= (PO_LT ?t1 ?t2) true_term)) (= (PO_LT ?t0 ?t2) true_term))))
+(assert (forall ((?t0 Int) (?t1 Int)) (=> (and (= (PO_LT ?t0 ?t1) true_term) (= (PO_LT ?t1 ?t0) true_term)) (= ?t0 ?t1))))
+(declare-fun T_boolean () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_boolean) true_term) (= ?t T_boolean))))
+(declare-fun T_char () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_char) true_term) (= ?t T_char))))
+(declare-fun T_byte () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_byte) true_term) (= ?t T_byte))))
+(declare-fun T_short () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_short) true_term) (= ?t T_short))))
+(declare-fun T_int () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_int) true_term) (= ?t T_int))))
+(declare-fun T_long () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_long) true_term) (= ?t T_long))))
+(declare-fun T_float () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_float) true_term) (= ?t T_float))))
+(declare-fun T_double () Int)
+(assert (forall ((?t Int)) (=> (= (PO_LT ?t T_double) true_term) (= ?t T_double))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_boolean ?t) true_term) (= ?t T_boolean))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_char ?t) true_term) (= ?t T_char))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_byte ?t) true_term) (= ?t T_byte))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_short ?t) true_term) (= ?t T_short))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_int ?t) true_term) (= ?t T_int))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_long ?t) true_term) (= ?t T_long))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_float ?t) true_term) (= ?t T_float))))
+(assert (forall ((?t Int)) (=> (= (PO_LT T_double ?t) true_term) (= ?t T_double))))
+(declare-fun asChild (Int Int) Int)
+(declare-fun classDown (Int Int) Int)
+(assert (forall ((?t0 Int) (?t1 Int) (?t2 Int)) (let ((?v_0 (asChild ?t1 ?t2))) (=> (= (PO_LT ?t0 ?v_0) true_term) (= (classDown ?t2 ?t0) ?v_0)))))
+(declare-fun T_java_lang_Cloneable () Int)
+(assert (= (PO_LT T_java_lang_Cloneable T_java_lang_Object) true_term))
+(declare-fun array (Int) Int)
+(assert (forall ((?t Int)) (= (PO_LT (array ?t) T_java_lang_Cloneable) true_term)))
+(declare-fun elemtype (Int) Int)
+(assert (forall ((?t Int)) (= (elemtype (array ?t)) ?t)))
+(assert (forall ((?t0 Int) (?t1 Int)) (let ((?v_0 (elemtype ?t0))) (= (= (PO_LT ?t0 (array ?t1)) true_term) (and (= ?t0 (array ?v_0)) (= (PO_LT ?v_0 ?t1) true_term))))))
+(declare-fun is (Int Int) Int)
+(declare-fun cast (Int Int) Int)
+(assert (forall ((?x Int) (?t Int)) (= (is (cast ?x ?t) ?t) true_term)))
+(assert (forall ((?x Int) (?t Int)) (=> (= (is ?x ?t) true_term) (= (cast ?x ?t) ?x))))
+(assert true)
+(assert (forall ((?x Int)) (= (= (is ?x T_char) true_term) (and (<= 0 ?x) (<= ?x 65535)))))
+(assert (forall ((?x Int)) (= (= (is ?x T_byte) true_term) (and (<= (- 128) ?x) (<= ?x 127)))))
+(assert (forall ((?x Int)) (= (= (is ?x T_short) true_term) (and (<= (- 32768) ?x) (<= ?x 32767)))))
+(declare-fun intFirst () Int)
+(declare-fun intLast () Int)
+(assert (forall ((?x Int)) (= (= (is ?x T_int) true_term) (and (<= intFirst ?x) (<= ?x intLast)))))
+(declare-fun longFirst () Int)
+(declare-fun longLast () Int)
+(assert (forall ((?x Int)) (= (= (is ?x T_long) true_term) (and (<= longFirst ?x) (<= ?x longLast)))))
+(assert (< longFirst intFirst))
+(assert (< intFirst (- 1000000)))
+(assert (< 1000000 intLast))
+(assert (< intLast longLast))
+(declare-fun null () Int)
+(declare-fun typeof (Int) Int)
+(assert (forall ((?x Int) (?t Int)) (=> (= (PO_LT ?t T_java_lang_Object) true_term) (= (= (is ?x ?t) true_term) (or (= ?x null) (= (PO_LT (typeof ?x) ?t) true_term))))))
+(declare-fun asField (Int Int) Int)
+(assert (forall ((?f Int) (?t Int) (?x Int)) (= (is (S_select (asField ?f ?t) ?x) ?t) true_term)))
+(declare-fun asElems (Int) Int)
+(assert (forall ((?e Int) (?a Int) (?i Int)) (= (is (S_select (S_select (asElems ?e) ?a) ?i) (elemtype (typeof ?a))) true_term)))
+(declare-fun vAllocTime (Int) Int)
+(declare-fun isAllocated (Int Int) Int)
+(assert (forall ((?x Int) (?a0 Int)) (= (= (isAllocated ?x ?a0) true_term) (< (vAllocTime ?x) ?a0))))
+(declare-fun fClosedTime (Int) Int)
+(assert (forall ((?x Int) (?f Int) (?a0 Int)) (=> (and (< (fClosedTime ?f) ?a0) (= (isAllocated ?x ?a0) true_term)) (= (isAllocated (S_select ?f ?x) ?a0) true_term))))
+(declare-fun eClosedTime (Int) Int)
+(assert (forall ((?a Int) (?e Int) (?i Int) (?a0 Int)) (=> (and (< (eClosedTime ?e) ?a0) (= (isAllocated ?a ?a0) true_term)) (= (isAllocated (S_select (S_select ?e ?a) ?i) ?a0) true_term))))
+(declare-fun asLockSet (Int) Int)
+(declare-fun max (Int) Int)
+(assert (forall ((?S Int)) (let ((?v_0 (asLockSet ?S))) (= (S_select ?v_0 (max ?v_0)) true_term))))
+(assert (forall ((?S Int)) (= (S_select (asLockSet ?S) null) true_term)))
+(declare-fun lockLE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (lockLE ?x ?y) true_term) (<= ?x ?y))))
+(declare-fun lockLT (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (lockLT ?x ?y) true_term) (< ?x ?y))))
+(assert (forall ((?S Int) (?mu Int)) (let ((?v_0 (asLockSet ?S))) (=> (= (S_select ?v_0 ?mu) true_term) (= (lockLE ?mu (max ?v_0)) true_term)))))
+(assert (forall ((?x Int)) (=> (= (PO_LT (typeof ?x) T_java_lang_Object) true_term) (= (lockLE null ?x) true_term))))
+(declare-fun arrayLength (Int) Int)
+(assert (forall ((?a Int)) (let ((?v_0 (arrayLength ?a))) (and (<= 0 ?v_0) (= (is ?v_0 T_int) true_term)))))
+(declare-fun arrayFresh (Int Int Int Int Int Int Int) Int)
+(declare-fun arrayShapeMore (Int Int) Int)
+(declare-fun arrayParent (Int) Int)
+(declare-fun arrayPosition (Int) Int)
+(assert (forall ((?a Int) (?a0 Int) (?b0 Int) (?e Int) (?n Int) (?s Int) (?T Int) (?v Int)) (= (= (arrayFresh ?a ?a0 ?b0 ?e (arrayShapeMore ?n ?s) ?T ?v) true_term) (and (<= ?a0 (vAllocTime ?a)) (= (isAllocated ?a ?b0) true_term) (not (= ?a null)) (= (typeof ?a) ?T) (= (arrayLength ?a) ?n) (forall ((?i Int)) (let ((?v_0 (S_select (S_select ?e ?a) ?i))) (and (= (arrayFresh ?v_0 ?a0 ?b0 ?e ?s (elemtype ?T) ?v) true_term) (= (arrayParent ?v_0) ?a) (= (arrayPosition ?v_0) ?i))))))))
+(declare-fun arrayShapeOne (Int) Int)
+(assert (forall ((?a Int) (?a0 Int) (?b0 Int) (?e Int) (?n Int) (?T Int) (?v Int)) (= (= (arrayFresh ?a ?a0 ?b0 ?e (arrayShapeOne ?n) ?T ?v) true_term) (and (<= ?a0 (vAllocTime ?a)) (= (isAllocated ?a ?b0) true_term) (not (= ?a null)) (= (typeof ?a) ?T) (= (arrayLength ?a) ?n) (forall ((?i Int)) (= (S_select (S_select ?e ?a) ?i) ?v))))))
+(declare-fun arrayType () Int)
+(assert (= arrayType (asChild arrayType T_java_lang_Object)))
+(assert (forall ((?t Int)) (= (PO_LT (array ?t) arrayType) true_term)))
+(declare-fun isNewArray (Int) Int)
+(assert (forall ((?s Int)) (=> (= true_term (isNewArray ?s)) (= (PO_LT (typeof ?s) arrayType) true_term))))
+(declare-fun boolAnd (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolAnd ?a ?b) true_term) (and (= ?a true_term) (= ?b true_term)))))
+(declare-fun boolEq (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolEq ?a ?b) true_term) (= (= ?a true_term) (= ?b true_term)))))
+(declare-fun boolImplies (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolImplies ?a ?b) true_term) (=> (= ?a true_term) (= ?b true_term)))))
+(declare-fun boolNE (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolNE ?a ?b) true_term) (not (= (= ?a true_term) (= ?b true_term))))))
+(declare-fun boolNot (Int) Int)
+(assert (forall ((?a Int)) (= (= (boolNot ?a) true_term) (not (= ?a true_term)))))
+(declare-fun boolOr (Int Int) Int)
+(assert (forall ((?a Int) (?b Int)) (= (= (boolOr ?a ?b) true_term) (or (= ?a true_term) (= ?b true_term)))))
+(declare-fun integralEQ (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralEQ ?x ?y) true_term) (= ?x ?y))))
+(declare-fun stringCat (Int Int) Int)
+(declare-fun T_java_lang_String () Int)
+(assert (forall ((?x Int) (?y Int)) (let ((?v_0 (stringCat ?x ?y))) (and (not (= ?v_0 null)) (= (PO_LT (typeof ?v_0) T_java_lang_String) true_term)))))
+(declare-fun integralGE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralGE ?x ?y) true_term) (>= ?x ?y))))
+(declare-fun integralGT (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralGT ?x ?y) true_term) (> ?x ?y))))
+(declare-fun integralLE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralLE ?x ?y) true_term) (<= ?x ?y))))
+(declare-fun integralLT (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralLT ?x ?y) true_term) (< ?x ?y))))
+(declare-fun integralNE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (integralNE ?x ?y) true_term) (not (= ?x ?y)))))
+(declare-fun refEQ (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (refEQ ?x ?y) true_term) (= ?x ?y))))
+(declare-fun refNE (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (= (= (refNE ?x ?y) true_term) (not (= ?x ?y)))))
+(declare-fun nonnullelements (Int Int) Int)
+(assert (forall ((?x Int) (?e Int)) (= (= (nonnullelements ?x ?e) true_term) (and (not (= ?x null)) (forall ((?i Int)) (=> (and (<= 0 ?i) (< ?i (arrayLength ?x))) (not (= (S_select (S_select ?e ?x) ?i) null))))))))
+(declare-fun classLiteral (Int) Int)
+(declare-fun T_java_lang_Class () Int)
+(declare-fun alloc () Int)
+(assert (forall ((?t Int)) (let ((?v_0 (classLiteral ?t))) (and (not (= ?v_0 null)) (= (is ?v_0 T_java_lang_Class) true_term) (= (isAllocated ?v_0 alloc) true_term)))))
+(declare-fun integralAnd (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (=> (or (<= 0 ?x) (<= 0 ?y)) (<= 0 (integralAnd ?x ?y)))))
+(assert (forall ((?x Int) (?y Int)) (=> (<= 0 ?x) (<= (integralAnd ?x ?y) ?x))))
+(assert (forall ((?x Int) (?y Int)) (=> (<= 0 ?y) (<= (integralAnd ?x ?y) ?y))))
+(declare-fun integralOr (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (let ((?v_0 (integralOr ?x ?y))) (=> (and (<= 0 ?x) (<= 0 ?y)) (and (<= ?x ?v_0) (<= ?y ?v_0))))))
+(declare-fun integralXor (Int Int) Int)
+(assert (forall ((?x Int) (?y Int)) (=> (and (<= 0 ?x) (<= 0 ?y)) (<= 0 (integralXor ?x ?y)))))
+(declare-fun intShiftL (Int Int) Int)
+(assert (forall ((?n Int)) (=> (and (<= 0 ?n) (< ?n 31)) (<= 1 (intShiftL 1 ?n)))))
+(declare-fun longShiftL (Int Int) Int)
+(assert (forall ((?n Int)) (=> (and (<= 0 ?n) (< ?n 63)) (<= 1 (longShiftL 1 ?n)))))
+(assert true)
+(declare-fun T_javafe_ast_VarInitVec () Int)
+(declare-fun T_javafe_ast_WhileStmt () Int)
+(declare-fun T_javafe_ast_Stmt () Int)
+(declare-fun T_javafe_ast_StmtVec () Int)
+(declare-fun T_javafe_ast_ExprVec () Int)
+(declare-fun T_javafe_ast_CastExpr () Int)
+(declare-fun T_javafe_ast_Expr () Int)
+(declare-fun T_javafe_ast_AmbiguousMethodInvocation () Int)
+(declare-fun T_javafe_ast_TypeDecl () Int)
+(declare-fun T_javafe_ast_ASTNode () Int)
+(declare-fun T_javafe_ast_TypeDeclElem () Int)
+(declare-fun T_java_lang_Throwable () Int)
+(declare-fun T_java_io_Serializable () Int)
+(declare-fun T_javafe_ast_LiteralExpr () Int)
+(declare-fun T_javafe_ast_ImportDecl () Int)
+(declare-fun T_javafe_ast_TryFinallyStmt () Int)
+(declare-fun T_javafe_ast_InterfaceDecl () Int)
+(declare-fun T_java_lang_RuntimeException () Int)
+(declare-fun T_java_lang_Exception () Int)
+(declare-fun T_javafe_ast_VariableAccess () Int)
+(declare-fun T_javafe_tc_Types () Int)
+(declare-fun T_javafe_ast_ThrowStmt () Int)
+(declare-fun T_javafe_ast_PrimitiveType () Int)
+(declare-fun T_javafe_ast_Type () Int)
+(declare-fun T_javafe_tc_PrepTypeDeclaration () Int)
+(declare-fun T_javafe_ast_ConstructorInvocation () Int)
+(declare-fun T_javafe_ast_SwitchStmt () Int)
+(declare-fun T_javafe_ast_GenericBlockStmt () Int)
+(declare-fun T_javafe_ast_FormalParaDecl () Int)
+(declare-fun T_javafe_ast_GenericVarDecl () Int)
+(declare-fun T_javafe_tc_TagConstants () Int)
+(declare-fun T_javafe_parser_TagConstants () Int)
+(declare-fun T_java_util_EscjavaKeyValue () Int)
+(declare-fun T_javafe_ast_CompilationUnit () Int)
+(declare-fun T_javafe_ast_VarInit () Int)
+(declare-fun T_java_lang_Integer () Int)
+(declare-fun T_java_lang_Number () Int)
+(declare-fun T_java_lang_Comparable () Int)
+(declare-fun T_javafe_ast_ModifierPragmaVec () Int)
+(declare-fun T_javafe_ast_TypeObjectDesignator () Int)
+(declare-fun T_javafe_ast_ObjectDesignator () Int)
+(declare-fun T_javafe_ast_CompoundName () Int)
+(declare-fun T_javafe_ast_Name () Int)
+(declare-fun T_javafe_ast_TryCatchStmt () Int)
+(declare-fun T_javafe_ast_Modifiers () Int)
+(declare-fun T_javafe_tc_FlowInsensitiveChecks () Int)
+(declare-fun T_javafe_ast_TypeModifierPragma () Int)
+(declare-fun T_javafe_ast_TypeNameVec () Int)
+(declare-fun T_javafe_ast_ContinueStmt () Int)
+(declare-fun T_javafe_ast_BranchStmt () Int)
+(declare-fun T_javafe_ast_UnaryExpr () Int)
+(declare-fun T_javafe_ast_NewInstanceExpr () Int)
+(declare-fun T_javafe_ast_IfStmt () Int)
+(declare-fun T_javafe_ast_TagConstants () Int)
+(declare-fun T_javafe_ast_OperatorTags () Int)
+(declare-fun T_javafe_ast_MethodInvocation () Int)
+(declare-fun T_javafe_util_ErrorSet () Int)
+(declare-fun T_javafe_ast_IdentifierVec () Int)
+(declare-fun T_javafe_ast_RoutineDecl () Int)
+(declare-fun T_javafe_ast_SimpleName () Int)
+(declare-fun T_javafe_ast_TypeDeclElemPragma () Int)
+(declare-fun T_javafe_tc_TypeSig () Int)
+(declare-fun T_javafe_ast_SwitchLabel () Int)
+(declare-fun T_javafe_ast_SkipStmt () Int)
+(declare-fun T_javafe_tc_FieldDeclVec () Int)
+(declare-fun T_javafe_tc_TypeSigVec () Int)
+(declare-fun T_javafe_ast_ParenExpr () Int)
+(declare-fun T_javafe_tc_Env () Int)
+(declare-fun T_javafe_tc_EnvForLocalType () Int)
+(declare-fun T_javafe_ast_ArrayInit () Int)
+(declare-fun T_javafe_tc_LookupException () Int)
+(declare-fun T_java_lang_Double () Int)
+(declare-fun T_javafe_ast_GeneratedTags () Int)
+(declare-fun T_javafe_ast_TypeName () Int)
+(declare-fun T_javafe_ast_LocalVarDecl () Int)
+(declare-fun T_javafe_util_Set () Int)
+(declare-fun T_javafe_tc_MethodDeclVec () Int)
+(declare-fun T_javafe_ast_ModifierPragma () Int)
+(declare-fun T_javafe_ast_ReturnStmt () Int)
+(declare-fun T_javafe_ast_FieldAccess () Int)
+(declare-fun T_javafe_ast_BlockStmt () Int)
+(declare-fun T_javafe_ast_Identifier () Int)
+(declare-fun T_javafe_ast_OnDemandImportDecl () Int)
+(declare-fun T_java_util_Map () Int)
+(declare-fun T_javafe_util_Location () Int)
+(declare-fun T_java_lang_ClassCastException () Int)
+(declare-fun T_javafe_ast_InstanceOfExpr () Int)
+(declare-fun T_javafe_ast_ThisExpr () Int)
+(declare-fun T_javafe_ast_ClassDeclStmt () Int)
+(declare-fun T_java_util_Dictionary () Int)
+(declare-fun T_java_lang_Float () Int)
+(declare-fun T_javafe_ast_InitBlock () Int)
+(declare-fun T_javafe_tc_EnvForCU () Int)
+(declare-fun T_javafe_ast_ClassDecl () Int)
+(declare-fun T_javafe_ast_DoStmt () Int)
+(declare-fun T_javafe_ast_BreakStmt () Int)
+(declare-fun T_javafe_ast_ClassLiteral () Int)
+(declare-fun T_javafe_ast_ConstructorDecl () Int)
+(declare-fun T_javafe_tc_ConstantExpr () Int)
+(declare-fun T_javafe_ast_BinaryExpr () Int)
+(declare-fun T_javafe_ast_CatchClause () Int)
+(declare-fun T_javafe_ast_ArrayRefExpr () Int)
+(declare-fun T_javafe_ast_VarDeclStmt () Int)
+(declare-fun T_java_util_Hashtable () Int)
+(declare-fun T_javafe_ast_ArrayType () Int)
+(declare-fun T_javafe_tc_EnvForLocals () Int)
+(declare-fun T_javafe_ast_LabelStmt () Int)
+(declare-fun T_javafe_util_Assert () Int)
+(declare-fun T_javafe_ast_TypeDeclElemVec () Int)
+(declare-fun T_javafe_ast_ExprObjectDesignator () Int)
+(declare-fun T_javafe_ast_ASTDecoration () Int)
+(declare-fun T_java_lang_Boolean () Int)
+(declare-fun T_javafe_ast_CondExpr () Int)
+(declare-fun T_javafe_ast_SingleTypeImportDecl () Int)
+(declare-fun T_javafe_ast_FormalParaDeclVec () Int)
+(declare-fun T_javafe_ast_AmbiguousVariableAccess () Int)
+(declare-fun T_javafe_ast_SuperObjectDesignator () Int)
+(declare-fun T_javafe_ast_MethodDecl () Int)
+(declare-fun T_javafe_ast_EvalStmt () Int)
+(declare-fun T_javafe_ast_SynchronizeStmt () Int)
+(declare-fun T_javafe_util_StackVector () Int)
+(declare-fun T_javafe_ast_ForStmt () Int)
+(declare-fun T_javafe_ast_TypeModifierPragmaVec () Int)
+(declare-fun T_javafe_ast_CatchClauseVec () Int)
+(declare-fun T_javafe_ast_NewArrayExpr () Int)
+(declare-fun T_javafe_ast_PrettyPrint () Int)
+(declare-fun T_javafe_ast_FieldDecl () Int)
+(declare-fun T_javafe_tc_EnvForTypeSig () Int)
+(declare-fun T_java_lang_Long () Int)
+(declare-fun T_javafe_ast_StmtPragma () Int)
+(declare-fun DIST_ZERO_1 () Int)
+(declare-fun T__TYPE () Int)
+(declare-fun EQ_29_25_26 () Int)
+(declare-fun ACC_FINAL_31_23_26 () Int)
+(declare-fun RETURNSTMT_30_33_7 () Int)
+(declare-fun NOT_29_56_26 () Int)
+(declare-fun CLASSLITERAL_30_60_7 () Int)
+(declare-fun ACC_STATIC_31_22_26 () Int)
+(declare-fun NE_29_24_26 () Int)
+(declare-fun EVALSTMT_30_32_7 () Int)
+(declare-fun UNARYSUB_29_55_26 () Int)
+(declare-fun METHODINVOCATION_30_59_7 () Int)
+(declare-fun BITAND_29_23_26 () Int)
+(declare-fun SYNCHRONIZESTMT_30_31_7 () Int)
+(declare-fun UNARYADD_29_54_26 () Int)
+(declare-fun AMBIGUOUSMETHODINVOCATION_30_58_7 () Int)
+(declare-fun otherCodes_27_202_27 () Int)
+(declare-fun BITXOR_29_22_26 () Int)
+(declare-fun DOSTMT_30_30_7 () Int)
+(declare-fun ASGBITXOR_29_51_26 () Int)
+(declare-fun FIELDACCESS_30_57_7 () Int)
+(declare-fun punctuationStrings_27_134_22 () Int)
+(declare-fun CHECKED_5_776_28 () Int)
+(declare-fun BITOR_29_21_26 () Int)
+(declare-fun WHILESTMT_30_29_7 () Int)
+(declare-fun ASGBITOR_29_50_26 () Int)
+(declare-fun PREPPED_5_775_28 () Int)
+(declare-fun VARIABLEACCESS_30_56_7 () Int)
+(declare-fun CLASSDECLSTMT_30_28_7 () Int)
+(declare-fun ASGBITAND_29_49_26 () Int)
+(declare-fun AMBIGUOUSVARIABLEACCESS_30_55_7 () Int)
+(declare-fun AND_29_20_26 () Int)
+(declare-fun VARDECLSTMT_30_27_7 () Int)
+(declare-fun ASGURSHIFT_29_48_26 () Int)
+(declare-fun PARENEXPR_30_54_7 () Int)
+(declare-fun NULLLIT_28_45_26 () Int)
+(declare-fun PARSED_5_772_28 () Int)
+(declare-fun OR_29_19_26 () Int)
+(declare-fun SWITCHSTMT_30_26_7 () Int)
+(declare-fun ASGRSHIFT_29_47_26 () Int)
+(declare-fun CASTEXPR_30_53_7 () Int)
+(declare-fun LAST_KEYWORD_27_103_26 () Int)
+(declare-fun STRINGLIT_28_44_26 () Int)
+(declare-fun BLOCKSTMT_30_25_7 () Int)
+(declare-fun NULL_27_82_26 () Int)
+(declare-fun ASGLSHIFT_29_46_26 () Int)
+(declare-fun INSTANCEOFEXPR_30_52_7 () Int)
+(declare-fun DOUBLELIT_28_43_26 () Int)
+(declare-fun FORMALPARADECL_30_24_7 () Int)
+(declare-fun map_5_301_35 () Int)
+(declare-fun ASGSUB_29_45_26 () Int)
+(declare-fun CONDEXPR_30_51_7 () Int)
+(declare-fun otherStrings_27_193_30 () Int)
+(declare-fun FLOATLIT_28_42_26 () Int)
+(declare-fun FIELDDECL_30_23_7 () Int)
+(declare-fun ASGADD_29_44_26 () Int)
+(declare-fun NEWARRAYEXPR_30_50_7 () Int)
+(declare-fun CHARLIT_28_41_26 () Int)
+(declare-fun LOCALVARDECL_30_22_7 () Int)
+(declare-fun whereDecoration_20_597_41 () Int)
+(declare-fun ASGREM_29_43_26 () Int)
+(declare-fun NEWINSTANCEEXPR_30_49_7 () Int)
+(declare-fun LONGLIT_28_40_26 () Int)
+(declare-fun INITBLOCK_30_21_7 () Int)
+(declare-fun ASGDIV_29_42_26 () Int)
+(declare-fun ARRAYREFEXPR_30_48_7 () Int)
+(declare-fun INTLIT_28_39_26 () Int)
+(declare-fun METHODDECL_30_20_7 () Int)
+(declare-fun ASGMUL_29_41_26 () Int)
+(declare-fun THISEXPR_30_47_7 () Int)
+(declare-fun BOOLEANLIT_28_38_26 () Int)
+(declare-fun CONSTRUCTORDECL_30_19_7 () Int)
+(declare-fun ASSIGN_29_40_26 () Int)
+(declare-fun TYPEMODIFIERPRAGMA_27_28_26 () Int)
+(declare-fun ARRAYINIT_30_46_7 () Int)
+(declare-fun SHORTTYPE_28_36_26 () Int)
+(declare-fun INTERFACEDECL_30_18_7 () Int)
+(declare-fun TYPESIG_26_6_28 () Int)
+(declare-fun STAR_29_37_26 () Int)
+(declare-fun CATCHCLAUSE_30_45_7 () Int)
+(declare-fun NOTACCESSIBLE_86_13_26 () Int)
+(declare-fun TYPEDECLELEMPRAGMA_27_27_26 () Int)
+(declare-fun BYTETYPE_28_35_26 () Int)
+(declare-fun CLASSDECL_30_17_7 () Int)
+(declare-fun MOD_29_36_26 () Int)
+(declare-fun BADTYPECOMBO_86_12_26 () Int)
+(declare-fun CONSTRUCTORINVOCATION_30_44_7 () Int)
+(declare-fun NULLTYPE_28_34_26 () Int)
+(declare-fun STMTPRAGMA_27_26_26 () Int)
+(declare-fun ONDEMANDIMPORTDECL_30_16_7 () Int)
+(declare-fun DIV_29_35_26 () Int)
+(declare-fun TRYCATCHSTMT_30_43_7 () Int)
+(declare-fun VOIDTYPE_28_33_26 () Int)
+(declare-fun SINGLETYPEIMPORTDECL_30_15_7 () Int)
+(declare-fun SUB_29_34_26 () Int)
+(declare-fun AMBIGUOUS_86_11_26 () Int)
+(declare-fun MODIFIERPRAGMA_27_25_26 () Int)
+(declare-fun TRYFINALLYSTMT_30_42_7 () Int)
+(declare-fun DOUBLETYPE_28_32_26 () Int)
+(declare-fun NOTFOUND_86_10_26 () Int)
+(declare-fun COMPILATIONUNIT_30_14_7 () Int)
+(declare-fun ADD_29_33_26 () Int)
+(declare-fun FIRST_KEYWORD_27_51_26 () Int)
+(declare-fun SWITCHLABEL_30_41_7 () Int)
+(declare-fun LEXICALPRAGMA_27_24_26 () Int)
+(declare-fun FLOATTYPE_28_31_26 () Int)
+(declare-fun URSHIFT_29_32_26 () Int)
+(declare-fun SKIPSTMT_30_40_7 () Int)
+(declare-fun COMPOUNDNAME_30_67_7 () Int)
+(declare-fun CHARTYPE_28_30_26 () Int)
+(declare-fun RSHIFT_29_31_26 () Int)
+(declare-fun FORSTMT_30_39_7 () Int)
+(declare-fun SIMPLENAME_30_66_7 () Int)
+(declare-fun LONGTYPE_28_29_26 () Int)
+(declare-fun LSHIFT_29_30_26 () Int)
+(declare-fun IFSTMT_30_38_7 () Int)
+(declare-fun POSTFIXDEC_29_63_26 () Int)
+(declare-fun ARRAYTYPE_30_65_7 () Int)
+(declare-fun INTTYPE_28_28_26 () Int)
+(declare-fun LT_29_29_26 () Int)
+(declare-fun LABELSTMT_30_37_7 () Int)
+(declare-fun POSTFIXINC_29_62_26 () Int)
+(declare-fun noTokens_27_212_27 () Int)
+(declare-fun TYPENAME_30_64_7 () Int)
+(declare-fun BOOLEANTYPE_28_27_26 () Int)
+(declare-fun LE_29_28_26 () Int)
+(declare-fun CONTINUESTMT_30_36_7 () Int)
+(declare-fun punctuationCodes_27_164_19 () Int)
+(declare-fun DEC_29_59_26 () Int)
+(declare-fun SUPEROBJECTDESIGNATOR_30_63_7 () Int)
+(declare-fun IDENT_28_25_26 () Int)
+(declare-fun GT_29_27_26 () Int)
+(declare-fun BREAKSTMT_30_35_7 () Int)
+(declare-fun INC_29_58_26 () Int)
+(declare-fun TYPEOBJECTDESIGNATOR_30_62_7 () Int)
+(declare-fun sigDecoration_5_104_38 () Int)
+(declare-fun GE_29_26_26 () Int)
+(declare-fun keywordStrings_27_181_30 () Int)
+(declare-fun THROWSTMT_30_34_7 () Int)
+(declare-fun NULL_44_60_26 () Int)
+(declare-fun BITNOT_29_57_26 () Int)
+(declare-fun EXPROBJECTDESIGNATOR_30_61_7 () Int)
+(assert (let ((?v_0 (array T_int)) (?v_1 (array T_java_lang_String))) (and (= (PO_LT T_javafe_ast_VarInitVec T_java_lang_Object) true_term) (= T_javafe_ast_VarInitVec (asChild T_javafe_ast_VarInitVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_WhileStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_WhileStmt (asChild T_javafe_ast_WhileStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_StmtVec T_java_lang_Object) true_term) (= T_javafe_ast_StmtVec (asChild T_javafe_ast_StmtVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_ExprVec T_java_lang_Object) true_term) (= T_javafe_ast_ExprVec (asChild T_javafe_ast_ExprVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_CastExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_CastExpr (asChild T_javafe_ast_CastExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_AmbiguousMethodInvocation T_javafe_ast_Expr) true_term) (= T_javafe_ast_AmbiguousMethodInvocation (asChild T_javafe_ast_AmbiguousMethodInvocation T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_TypeDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_TypeDecl (asChild T_javafe_ast_TypeDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_TypeDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_java_lang_Throwable T_java_lang_Object) true_term) (= T_java_lang_Throwable (asChild T_java_lang_Throwable T_java_lang_Object)) (= (PO_LT T_java_lang_Throwable T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_LiteralExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_LiteralExpr (asChild T_javafe_ast_LiteralExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ImportDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_ImportDecl (asChild T_javafe_ast_ImportDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_TryFinallyStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_TryFinallyStmt (asChild T_javafe_ast_TryFinallyStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_InterfaceDecl T_javafe_ast_TypeDecl) true_term) (= T_javafe_ast_InterfaceDecl (asChild T_javafe_ast_InterfaceDecl T_javafe_ast_TypeDecl)) (= (PO_LT T_java_lang_RuntimeException T_java_lang_Exception) true_term) (= T_java_lang_RuntimeException (asChild T_java_lang_RuntimeException T_java_lang_Exception)) (= (PO_LT T_javafe_ast_VariableAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_VariableAccess (asChild T_javafe_ast_VariableAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_tc_Types T_java_lang_Object) true_term) (= T_javafe_tc_Types (asChild T_javafe_tc_Types T_java_lang_Object)) (= (PO_LT T_javafe_ast_ThrowStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ThrowStmt (asChild T_javafe_ast_ThrowStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_PrimitiveType T_javafe_ast_Type) true_term) (= T_javafe_ast_PrimitiveType (asChild T_javafe_ast_PrimitiveType T_javafe_ast_Type)) (= (PO_LT T_javafe_tc_PrepTypeDeclaration T_java_lang_Object) true_term) (= T_javafe_tc_PrepTypeDeclaration (asChild T_javafe_tc_PrepTypeDeclaration T_java_lang_Object)) (= (PO_LT T_javafe_ast_ConstructorInvocation T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ConstructorInvocation (asChild T_javafe_ast_ConstructorInvocation T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_SwitchStmt T_javafe_ast_GenericBlockStmt) true_term) (= T_javafe_ast_SwitchStmt (asChild T_javafe_ast_SwitchStmt T_javafe_ast_GenericBlockStmt)) (= (PO_LT T_javafe_ast_FormalParaDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_FormalParaDecl (asChild T_javafe_ast_FormalParaDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_tc_TagConstants T_javafe_parser_TagConstants) true_term) (= T_javafe_tc_TagConstants (asChild T_javafe_tc_TagConstants T_javafe_parser_TagConstants)) (= (PO_LT T_java_util_EscjavaKeyValue T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_CompilationUnit T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_CompilationUnit (asChild T_javafe_ast_CompilationUnit T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_Expr T_javafe_ast_VarInit) true_term) (= T_javafe_ast_Expr (asChild T_javafe_ast_Expr T_javafe_ast_VarInit)) (= (PO_LT T_java_lang_Integer T_java_lang_Number) true_term) (= T_java_lang_Integer (asChild T_java_lang_Integer T_java_lang_Number)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Integer) true_term) (= ?t T_java_lang_Integer))) (= (PO_LT T_java_lang_Integer T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_ModifierPragmaVec T_java_lang_Object) true_term) (= T_javafe_ast_ModifierPragmaVec (asChild T_javafe_ast_ModifierPragmaVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_TypeObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_TypeObjectDesignator (asChild T_javafe_ast_TypeObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_javafe_ast_CompoundName T_javafe_ast_Name) true_term) (= T_javafe_ast_CompoundName (asChild T_javafe_ast_CompoundName T_javafe_ast_Name)) (= (PO_LT T_javafe_ast_TryCatchStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_TryCatchStmt (asChild T_javafe_ast_TryCatchStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_Modifiers T_java_lang_Object) true_term) (= T_javafe_ast_Modifiers (asChild T_javafe_ast_Modifiers T_java_lang_Object)) (= (PO_LT T_javafe_tc_FlowInsensitiveChecks T_java_lang_Object) true_term) (= T_javafe_tc_FlowInsensitiveChecks (asChild T_javafe_tc_FlowInsensitiveChecks T_java_lang_Object)) (= (PO_LT T_javafe_ast_TypeModifierPragma T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_TypeModifierPragma (asChild T_javafe_ast_TypeModifierPragma T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_TypeNameVec T_java_lang_Object) true_term) (= T_javafe_ast_TypeNameVec (asChild T_javafe_ast_TypeNameVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_GenericVarDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_GenericVarDecl (asChild T_javafe_ast_GenericVarDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_ContinueStmt T_javafe_ast_BranchStmt) true_term) (= T_javafe_ast_ContinueStmt (asChild T_javafe_ast_ContinueStmt T_javafe_ast_BranchStmt)) (= (PO_LT T_javafe_ast_UnaryExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_UnaryExpr (asChild T_javafe_ast_UnaryExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_NewInstanceExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_NewInstanceExpr (asChild T_javafe_ast_NewInstanceExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_IfStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_IfStmt (asChild T_javafe_ast_IfStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_TypeDeclElem T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_TagConstants T_javafe_ast_OperatorTags) true_term) (= T_javafe_ast_TagConstants (asChild T_javafe_ast_TagConstants T_javafe_ast_OperatorTags)) (= (PO_LT T_javafe_ast_MethodInvocation T_javafe_ast_Expr) true_term) (= T_javafe_ast_MethodInvocation (asChild T_javafe_ast_MethodInvocation T_javafe_ast_Expr)) (= (PO_LT T_java_lang_String T_java_lang_Object) true_term) (= T_java_lang_String (asChild T_java_lang_String T_java_lang_Object)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_String) true_term) (= ?t T_java_lang_String))) (= (PO_LT T_java_lang_String T_java_io_Serializable) true_term) (= (PO_LT T_java_lang_String T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_util_ErrorSet T_java_lang_Object) true_term) (= T_javafe_util_ErrorSet (asChild T_javafe_util_ErrorSet T_java_lang_Object)) (= (PO_LT T_javafe_parser_TagConstants T_javafe_ast_TagConstants) true_term) (= T_javafe_parser_TagConstants (asChild T_javafe_parser_TagConstants T_javafe_ast_TagConstants)) (= (PO_LT T_java_lang_Exception T_java_lang_Throwable) true_term) (= T_java_lang_Exception (asChild T_java_lang_Exception T_java_lang_Throwable)) (= (PO_LT T_javafe_ast_IdentifierVec T_java_lang_Object) true_term) (= T_javafe_ast_IdentifierVec (asChild T_javafe_ast_IdentifierVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_RoutineDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_RoutineDecl (asChild T_javafe_ast_RoutineDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_RoutineDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_SimpleName T_javafe_ast_Name) true_term) (= T_javafe_ast_SimpleName (asChild T_javafe_ast_SimpleName T_javafe_ast_Name)) (= (PO_LT T_javafe_ast_TypeDeclElemPragma T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_TypeDeclElemPragma (asChild T_javafe_ast_TypeDeclElemPragma T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_TypeDeclElemPragma T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_tc_TypeSig T_javafe_ast_Type) true_term) (= T_javafe_tc_TypeSig (asChild T_javafe_tc_TypeSig T_javafe_ast_Type)) (= (PO_LT T_javafe_ast_SwitchLabel T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SwitchLabel (asChild T_javafe_ast_SwitchLabel T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_SkipStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SkipStmt (asChild T_javafe_ast_SkipStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_tc_FieldDeclVec T_java_lang_Object) true_term) (= T_javafe_tc_FieldDeclVec (asChild T_javafe_tc_FieldDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_tc_TypeSigVec T_java_lang_Object) true_term) (= T_javafe_tc_TypeSigVec (asChild T_javafe_tc_TypeSigVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_ParenExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ParenExpr (asChild T_javafe_ast_ParenExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_tc_Env T_java_lang_Object) true_term) (= T_javafe_tc_Env (asChild T_javafe_tc_Env T_java_lang_Object)) (= (PO_LT T_javafe_ast_BranchStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_BranchStmt (asChild T_javafe_ast_BranchStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_tc_EnvForLocalType T_javafe_tc_Env) true_term) (= T_javafe_tc_EnvForLocalType (asChild T_javafe_tc_EnvForLocalType T_javafe_tc_Env)) (= (PO_LT T_javafe_tc_EnvForLocalType T_java_lang_Cloneable) true_term) (= (PO_LT T_javafe_ast_ArrayInit T_javafe_ast_VarInit) true_term) (= T_javafe_ast_ArrayInit (asChild T_javafe_ast_ArrayInit T_javafe_ast_VarInit)) (= (PO_LT T_javafe_tc_LookupException T_java_lang_Exception) true_term) (= T_javafe_tc_LookupException (asChild T_javafe_tc_LookupException T_java_lang_Exception)) (= (PO_LT T_java_lang_Double T_java_lang_Number) true_term) (= T_java_lang_Double (asChild T_java_lang_Double T_java_lang_Number)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Double) true_term) (= ?t T_java_lang_Double))) (= (PO_LT T_java_lang_Double T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_OperatorTags T_java_lang_Object) true_term) (= T_javafe_ast_OperatorTags (asChild T_javafe_ast_OperatorTags T_java_lang_Object)) (= (PO_LT T_javafe_ast_OperatorTags T_javafe_ast_GeneratedTags) true_term) (= (PO_LT T_javafe_ast_VarInit T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_VarInit (asChild T_javafe_ast_VarInit T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_TypeName T_javafe_ast_Type) true_term) (= T_javafe_ast_TypeName (asChild T_javafe_ast_TypeName T_javafe_ast_Type)) (= (PO_LT T_javafe_ast_LocalVarDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_LocalVarDecl (asChild T_javafe_ast_LocalVarDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_util_Set T_java_lang_Object) true_term) (= T_javafe_util_Set (asChild T_javafe_util_Set T_java_lang_Object)) (= (PO_LT T_javafe_util_Set T_java_lang_Cloneable) true_term) (= (PO_LT T_javafe_ast_ASTNode T_java_lang_Object) true_term) (= T_javafe_ast_ASTNode (asChild T_javafe_ast_ASTNode T_java_lang_Object)) (= (PO_LT T_javafe_ast_ASTNode T_java_lang_Cloneable) true_term) (= (PO_LT T_javafe_tc_MethodDeclVec T_java_lang_Object) true_term) (= T_javafe_tc_MethodDeclVec (asChild T_javafe_tc_MethodDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_ModifierPragma T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_ModifierPragma (asChild T_javafe_ast_ModifierPragma T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_ReturnStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ReturnStmt (asChild T_javafe_ast_ReturnStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_FieldAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_FieldAccess (asChild T_javafe_ast_FieldAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_BlockStmt T_javafe_ast_GenericBlockStmt) true_term) (= T_javafe_ast_BlockStmt (asChild T_javafe_ast_BlockStmt T_javafe_ast_GenericBlockStmt)) (= (PO_LT T_javafe_ast_Identifier T_java_lang_Object) true_term) (= T_javafe_ast_Identifier (asChild T_javafe_ast_Identifier T_java_lang_Object)) (forall ((?t Int)) (= (= (PO_LT ?t T_javafe_ast_Identifier) true_term) (= ?t T_javafe_ast_Identifier))) (= (PO_LT T_javafe_ast_OnDemandImportDecl T_javafe_ast_ImportDecl) true_term) (= T_javafe_ast_OnDemandImportDecl (asChild T_javafe_ast_OnDemandImportDecl T_javafe_ast_ImportDecl)) (= (PO_LT T_java_util_Map T_java_lang_Object) true_term) (= (PO_LT T_java_util_Map T_java_util_EscjavaKeyValue) true_term) (= (PO_LT T_javafe_ast_ObjectDesignator T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_ObjectDesignator (asChild T_javafe_ast_ObjectDesignator T_javafe_ast_ASTNode)) (= (PO_LT T_java_lang_Comparable T_java_lang_Object) true_term) (= (PO_LT T_javafe_util_Location T_java_lang_Object) true_term) (= T_javafe_util_Location (asChild T_javafe_util_Location T_java_lang_Object)) (= (PO_LT T_java_lang_ClassCastException T_java_lang_RuntimeException) true_term) (= T_java_lang_ClassCastException (asChild T_java_lang_ClassCastException T_java_lang_RuntimeException)) (= (PO_LT T_javafe_ast_InstanceOfExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_InstanceOfExpr (asChild T_javafe_ast_InstanceOfExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ThisExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ThisExpr (asChild T_javafe_ast_ThisExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ClassDeclStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ClassDeclStmt (asChild T_javafe_ast_ClassDeclStmt T_javafe_ast_Stmt)) (= (PO_LT T_java_util_Dictionary T_java_lang_Object) true_term) (= T_java_util_Dictionary (asChild T_java_util_Dictionary T_java_lang_Object)) (= (PO_LT T_java_util_Dictionary T_java_util_EscjavaKeyValue) true_term) (= (PO_LT T_java_lang_Float T_java_lang_Number) true_term) (= T_java_lang_Float (asChild T_java_lang_Float T_java_lang_Number)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Float) true_term) (= ?t T_java_lang_Float))) (= (PO_LT T_java_lang_Float T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_InitBlock T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_InitBlock (asChild T_javafe_ast_InitBlock T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_InitBlock T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_tc_EnvForCU T_javafe_tc_Env) true_term) (= T_javafe_tc_EnvForCU (asChild T_javafe_tc_EnvForCU T_javafe_tc_Env)) (= (PO_LT T_javafe_ast_ClassDecl T_javafe_ast_TypeDecl) true_term) (= T_javafe_ast_ClassDecl (asChild T_javafe_ast_ClassDecl T_javafe_ast_TypeDecl)) (= (PO_LT T_javafe_ast_DoStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_DoStmt (asChild T_javafe_ast_DoStmt T_javafe_ast_Stmt)) (= (PO_LT T_java_io_Serializable T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_BreakStmt T_javafe_ast_BranchStmt) true_term) (= T_javafe_ast_BreakStmt (asChild T_javafe_ast_BreakStmt T_javafe_ast_BranchStmt)) (= (PO_LT T_javafe_ast_ClassLiteral T_javafe_ast_Expr) true_term) (= T_javafe_ast_ClassLiteral (asChild T_javafe_ast_ClassLiteral T_javafe_ast_Expr)) (= (PO_LT T_java_lang_Cloneable T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_ConstructorDecl T_javafe_ast_RoutineDecl) true_term) (= T_javafe_ast_ConstructorDecl (asChild T_javafe_ast_ConstructorDecl T_javafe_ast_RoutineDecl)) (= (PO_LT T_javafe_tc_ConstantExpr T_java_lang_Object) true_term) (= T_javafe_tc_ConstantExpr (asChild T_javafe_tc_ConstantExpr T_java_lang_Object)) (= (PO_LT T_javafe_ast_BinaryExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_BinaryExpr (asChild T_javafe_ast_BinaryExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_Name T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Name (asChild T_javafe_ast_Name T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_CatchClause T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_CatchClause (asChild T_javafe_ast_CatchClause T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_ArrayRefExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ArrayRefExpr (asChild T_javafe_ast_ArrayRefExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_VarDeclStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_VarDeclStmt (asChild T_javafe_ast_VarDeclStmt T_javafe_ast_Stmt)) (= (PO_LT T_java_util_Hashtable T_java_util_Dictionary) true_term) (= T_java_util_Hashtable (asChild T_java_util_Hashtable T_java_util_Dictionary)) (= (PO_LT T_java_util_Hashtable T_java_util_Map) true_term) (= (PO_LT T_java_util_Hashtable T_java_lang_Cloneable) true_term) (= (PO_LT T_java_util_Hashtable T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_ArrayType T_javafe_ast_Type) true_term) (= T_javafe_ast_ArrayType (asChild T_javafe_ast_ArrayType T_javafe_ast_Type)) (= (PO_LT T_javafe_tc_EnvForLocals T_javafe_tc_Env) true_term) (= T_javafe_tc_EnvForLocals (asChild T_javafe_tc_EnvForLocals T_javafe_tc_Env)) (= (PO_LT T_javafe_tc_EnvForLocals T_java_lang_Cloneable) true_term) (= (PO_LT T_javafe_ast_LabelStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_LabelStmt (asChild T_javafe_ast_LabelStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_GeneratedTags T_java_lang_Object) true_term) (= (PO_LT T_javafe_util_Assert T_java_lang_Object) true_term) (= T_javafe_util_Assert (asChild T_javafe_util_Assert T_java_lang_Object)) (= (PO_LT T_javafe_ast_TypeDeclElemVec T_java_lang_Object) true_term) (= T_javafe_ast_TypeDeclElemVec (asChild T_javafe_ast_TypeDeclElemVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_Stmt T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Stmt (asChild T_javafe_ast_Stmt T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_ExprObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_ExprObjectDesignator (asChild T_javafe_ast_ExprObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_javafe_ast_GenericBlockStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_GenericBlockStmt (asChild T_javafe_ast_GenericBlockStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ASTDecoration T_java_lang_Object) true_term) (= T_javafe_ast_ASTDecoration (asChild T_javafe_ast_ASTDecoration T_java_lang_Object)) (= (PO_LT T_java_lang_Boolean T_java_lang_Object) true_term) (= T_java_lang_Boolean (asChild T_java_lang_Boolean T_java_lang_Object)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Boolean) true_term) (= ?t T_java_lang_Boolean))) (= (PO_LT T_java_lang_Boolean T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_CondExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_CondExpr (asChild T_javafe_ast_CondExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_SingleTypeImportDecl T_javafe_ast_ImportDecl) true_term) (= T_javafe_ast_SingleTypeImportDecl (asChild T_javafe_ast_SingleTypeImportDecl T_javafe_ast_ImportDecl)) (= (PO_LT T_javafe_ast_FormalParaDeclVec T_java_lang_Object) true_term) (= T_javafe_ast_FormalParaDeclVec (asChild T_javafe_ast_FormalParaDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_AmbiguousVariableAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_AmbiguousVariableAccess (asChild T_javafe_ast_AmbiguousVariableAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_SuperObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_SuperObjectDesignator (asChild T_javafe_ast_SuperObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_javafe_ast_MethodDecl T_javafe_ast_RoutineDecl) true_term) (= T_javafe_ast_MethodDecl (asChild T_javafe_ast_MethodDecl T_javafe_ast_RoutineDecl)) (= (PO_LT T_javafe_ast_EvalStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_EvalStmt (asChild T_javafe_ast_EvalStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_SynchronizeStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SynchronizeStmt (asChild T_javafe_ast_SynchronizeStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_util_StackVector T_java_lang_Object) true_term) (= T_javafe_util_StackVector (asChild T_javafe_util_StackVector T_java_lang_Object)) (forall ((?t Int)) (= (= (PO_LT ?t T_javafe_util_StackVector) true_term) (= ?t T_javafe_util_StackVector))) (= (PO_LT T_javafe_ast_ForStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ForStmt (asChild T_javafe_ast_ForStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_TypeModifierPragmaVec T_java_lang_Object) true_term) (= T_javafe_ast_TypeModifierPragmaVec (asChild T_javafe_ast_TypeModifierPragmaVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_Type T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Type (asChild T_javafe_ast_Type T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_CatchClauseVec T_java_lang_Object) true_term) (= T_javafe_ast_CatchClauseVec (asChild T_javafe_ast_CatchClauseVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_NewArrayExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_NewArrayExpr (asChild T_javafe_ast_NewArrayExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_PrettyPrint T_java_lang_Object) true_term) (= T_javafe_ast_PrettyPrint (asChild T_javafe_ast_PrettyPrint T_java_lang_Object)) (= (PO_LT T_javafe_ast_FieldDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_FieldDecl (asChild T_javafe_ast_FieldDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_ast_FieldDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_tc_EnvForTypeSig T_javafe_tc_Env) true_term) (= T_javafe_tc_EnvForTypeSig (asChild T_javafe_tc_EnvForTypeSig T_javafe_tc_Env)) (= (PO_LT T_java_lang_Long T_java_lang_Number) true_term) (= T_java_lang_Long (asChild T_java_lang_Long T_java_lang_Number)) (forall ((?t Int)) (= (= (PO_LT ?t T_java_lang_Long) true_term) (= ?t T_java_lang_Long))) (= (PO_LT T_java_lang_Long T_java_lang_Comparable) true_term) (= (PO_LT T_java_lang_Number T_java_lang_Object) true_term) (= T_java_lang_Number (asChild T_java_lang_Number T_java_lang_Object)) (= (PO_LT T_java_lang_Number T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_StmtPragma T_javafe_ast_Stmt) true_term) (= T_javafe_ast_StmtPragma (asChild T_javafe_ast_StmtPragma T_javafe_ast_Stmt)) (and (= arrayType (+ DIST_ZERO_1 0)) (= T_boolean (+ DIST_ZERO_1 1)) (= T_char (+ DIST_ZERO_1 2)) (= T_byte (+ DIST_ZERO_1 3)) (= T_short (+ DIST_ZERO_1 4)) (= T_int (+ DIST_ZERO_1 5)) (= T_long (+ DIST_ZERO_1 6)) (= T_float (+ DIST_ZERO_1 7)) (= T_double (+ DIST_ZERO_1 8)) (= T__TYPE (+ DIST_ZERO_1 9)) (= T_javafe_ast_VarInitVec (+ DIST_ZERO_1 10)) (= T_javafe_ast_WhileStmt (+ DIST_ZERO_1 11)) (= T_javafe_ast_StmtVec (+ DIST_ZERO_1 12)) (= T_javafe_ast_ExprVec (+ DIST_ZERO_1 13)) (= T_javafe_ast_CastExpr (+ DIST_ZERO_1 14)) (= T_javafe_ast_AmbiguousMethodInvocation (+ DIST_ZERO_1 15)) (= T_javafe_ast_TypeDecl (+ DIST_ZERO_1 16)) (= T_java_lang_Throwable (+ DIST_ZERO_1 17)) (= T_javafe_ast_LiteralExpr (+ DIST_ZERO_1 18)) (= T_javafe_ast_ImportDecl (+ DIST_ZERO_1 19)) (= T_javafe_ast_TryFinallyStmt (+ DIST_ZERO_1 20)) (= T_javafe_ast_InterfaceDecl (+ DIST_ZERO_1 21)) (= T_java_lang_RuntimeException (+ DIST_ZERO_1 22)) (= T_javafe_ast_VariableAccess (+ DIST_ZERO_1 23)) (= T_javafe_tc_Types (+ DIST_ZERO_1 24)) (= T_javafe_ast_ThrowStmt (+ DIST_ZERO_1 25)) (= T_javafe_ast_PrimitiveType (+ DIST_ZERO_1 26)) (= T_javafe_tc_PrepTypeDeclaration (+ DIST_ZERO_1 27)) (= T_javafe_ast_ConstructorInvocation (+ DIST_ZERO_1 28)) (= T_javafe_ast_SwitchStmt (+ DIST_ZERO_1 29)) (= T_javafe_ast_FormalParaDecl (+ DIST_ZERO_1 30)) (= T_javafe_tc_TagConstants (+ DIST_ZERO_1 31)) (= T_java_util_EscjavaKeyValue (+ DIST_ZERO_1 32)) (= T_javafe_ast_CompilationUnit (+ DIST_ZERO_1 33)) (= T_javafe_ast_Expr (+ DIST_ZERO_1 34)) (= T_java_lang_Integer (+ DIST_ZERO_1 35)) (= T_javafe_ast_ModifierPragmaVec (+ DIST_ZERO_1 36)) (= T_javafe_ast_TypeObjectDesignator (+ DIST_ZERO_1 37)) (= T_javafe_ast_CompoundName (+ DIST_ZERO_1 38)) (= T_javafe_ast_TryCatchStmt (+ DIST_ZERO_1 39)) (= T_javafe_ast_Modifiers (+ DIST_ZERO_1 40)) (= T_javafe_tc_FlowInsensitiveChecks (+ DIST_ZERO_1 41)) (= T_javafe_ast_TypeModifierPragma (+ DIST_ZERO_1 42)) (= T_javafe_ast_TypeNameVec (+ DIST_ZERO_1 43)) (= T_javafe_ast_GenericVarDecl (+ DIST_ZERO_1 44)) (= T_javafe_ast_ContinueStmt (+ DIST_ZERO_1 45)) (= T_javafe_ast_UnaryExpr (+ DIST_ZERO_1 46)) (= T_javafe_ast_NewInstanceExpr (+ DIST_ZERO_1 47)) (= T_javafe_ast_IfStmt (+ DIST_ZERO_1 48)) (= T_javafe_ast_TypeDeclElem (+ DIST_ZERO_1 49)) (= T_javafe_ast_TagConstants (+ DIST_ZERO_1 50)) (= T_javafe_ast_MethodInvocation (+ DIST_ZERO_1 51)) (= T_java_lang_String (+ DIST_ZERO_1 52)) (= T_javafe_util_ErrorSet (+ DIST_ZERO_1 53)) (= T_javafe_parser_TagConstants (+ DIST_ZERO_1 54)) (= T_java_lang_Exception (+ DIST_ZERO_1 55)) (= T_javafe_ast_IdentifierVec (+ DIST_ZERO_1 56)) (= T_javafe_ast_RoutineDecl (+ DIST_ZERO_1 57)) (= T_javafe_ast_SimpleName (+ DIST_ZERO_1 58)) (= T_javafe_ast_TypeDeclElemPragma (+ DIST_ZERO_1 59)) (= T_javafe_tc_TypeSig (+ DIST_ZERO_1 60)) (= T_javafe_ast_SwitchLabel (+ DIST_ZERO_1 61)) (= T_javafe_ast_SkipStmt (+ DIST_ZERO_1 62)) (= T_javafe_tc_FieldDeclVec (+ DIST_ZERO_1 63)) (= T_javafe_tc_TypeSigVec (+ DIST_ZERO_1 64)) (= T_javafe_ast_ParenExpr (+ DIST_ZERO_1 65)) (= T_javafe_tc_Env (+ DIST_ZERO_1 66)) (= T_javafe_ast_BranchStmt (+ DIST_ZERO_1 67)) (= T_javafe_tc_EnvForLocalType (+ DIST_ZERO_1 68)) (= T_javafe_ast_ArrayInit (+ DIST_ZERO_1 69)) (= T_javafe_tc_LookupException (+ DIST_ZERO_1 70)) (= T_java_lang_Double (+ DIST_ZERO_1 71)) (= T_javafe_ast_OperatorTags (+ DIST_ZERO_1 72)) (= T_javafe_ast_VarInit (+ DIST_ZERO_1 73)) (= T_javafe_ast_TypeName (+ DIST_ZERO_1 74)) (= T_javafe_ast_LocalVarDecl (+ DIST_ZERO_1 75)) (= T_javafe_util_Set (+ DIST_ZERO_1 76)) (= T_javafe_ast_ASTNode (+ DIST_ZERO_1 77)) (= T_javafe_tc_MethodDeclVec (+ DIST_ZERO_1 78)) (= T_javafe_ast_ModifierPragma (+ DIST_ZERO_1 79)) (= T_javafe_ast_ReturnStmt (+ DIST_ZERO_1 80)) (= T_javafe_ast_FieldAccess (+ DIST_ZERO_1 81)) (= T_javafe_ast_BlockStmt (+ DIST_ZERO_1 82)) (= T_javafe_ast_Identifier (+ DIST_ZERO_1 83)) (= T_javafe_ast_OnDemandImportDecl (+ DIST_ZERO_1 84)) (= T_java_util_Map (+ DIST_ZERO_1 85)) (= T_javafe_ast_ObjectDesignator (+ DIST_ZERO_1 86)) (= T_java_lang_Comparable (+ DIST_ZERO_1 87)) (= T_javafe_util_Location (+ DIST_ZERO_1 88)) (= T_java_lang_ClassCastException (+ DIST_ZERO_1 89)) (= T_javafe_ast_InstanceOfExpr (+ DIST_ZERO_1 90)) (= T_javafe_ast_ThisExpr (+ DIST_ZERO_1 91)) (= T_javafe_ast_ClassDeclStmt (+ DIST_ZERO_1 92)) (= T_java_util_Dictionary (+ DIST_ZERO_1 93)) (= T_java_lang_Float (+ DIST_ZERO_1 94)) (= T_javafe_ast_InitBlock (+ DIST_ZERO_1 95)) (= T_javafe_tc_EnvForCU (+ DIST_ZERO_1 96)) (= T_javafe_ast_ClassDecl (+ DIST_ZERO_1 97)) (= T_javafe_ast_DoStmt (+ DIST_ZERO_1 98)) (= T_java_io_Serializable (+ DIST_ZERO_1 99)) (= T_javafe_ast_BreakStmt (+ DIST_ZERO_1 100)) (= T_java_lang_Object (+ DIST_ZERO_1 101)) (= T_javafe_ast_ClassLiteral (+ DIST_ZERO_1 102)) (= T_java_lang_Cloneable (+ DIST_ZERO_1 103)) (= T_javafe_ast_ConstructorDecl (+ DIST_ZERO_1 104)) (= T_javafe_tc_ConstantExpr (+ DIST_ZERO_1 105)) (= T_javafe_ast_BinaryExpr (+ DIST_ZERO_1 106)) (= T_javafe_ast_Name (+ DIST_ZERO_1 107)) (= T_javafe_ast_CatchClause (+ DIST_ZERO_1 108)) (= T_javafe_ast_ArrayRefExpr (+ DIST_ZERO_1 109)) (= T_javafe_ast_VarDeclStmt (+ DIST_ZERO_1 110)) (= T_java_util_Hashtable (+ DIST_ZERO_1 111)) (= T_javafe_ast_ArrayType (+ DIST_ZERO_1 112)) (= T_javafe_tc_EnvForLocals (+ DIST_ZERO_1 113)) (= T_javafe_ast_LabelStmt (+ DIST_ZERO_1 114)) (= T_javafe_ast_GeneratedTags (+ DIST_ZERO_1 115)) (= T_javafe_util_Assert (+ DIST_ZERO_1 116)) (= T_javafe_ast_TypeDeclElemVec (+ DIST_ZERO_1 117)) (= T_javafe_ast_Stmt (+ DIST_ZERO_1 118)) (= T_javafe_ast_ExprObjectDesignator (+ DIST_ZERO_1 119)) (= T_javafe_ast_GenericBlockStmt (+ DIST_ZERO_1 120)) (= T_javafe_ast_ASTDecoration (+ DIST_ZERO_1 121)) (= T_java_lang_Boolean (+ DIST_ZERO_1 122)) (= T_javafe_ast_CondExpr (+ DIST_ZERO_1 123)) (= T_javafe_ast_SingleTypeImportDecl (+ DIST_ZERO_1 124)) (= T_javafe_ast_FormalParaDeclVec (+ DIST_ZERO_1 125)) (= T_javafe_ast_AmbiguousVariableAccess (+ DIST_ZERO_1 126)) (= T_javafe_ast_SuperObjectDesignator (+ DIST_ZERO_1 127)) (= T_javafe_ast_MethodDecl (+ DIST_ZERO_1 128)) (= T_javafe_ast_EvalStmt (+ DIST_ZERO_1 129)) (= T_javafe_ast_SynchronizeStmt (+ DIST_ZERO_1 130)) (= T_javafe_util_StackVector (+ DIST_ZERO_1 131)) (= T_javafe_ast_ForStmt (+ DIST_ZERO_1 132)) (= T_javafe_ast_TypeModifierPragmaVec (+ DIST_ZERO_1 133)) (= T_javafe_ast_Type (+ DIST_ZERO_1 134)) (= T_javafe_ast_CatchClauseVec (+ DIST_ZERO_1 135)) (= T_javafe_ast_NewArrayExpr (+ DIST_ZERO_1 136)) (= T_javafe_ast_PrettyPrint (+ DIST_ZERO_1 137)) (= T_javafe_ast_FieldDecl (+ DIST_ZERO_1 138)) (= T_javafe_tc_EnvForTypeSig (+ DIST_ZERO_1 139)) (= T_java_lang_Long (+ DIST_ZERO_1 140)) (= T_java_lang_Number (+ DIST_ZERO_1 141)) (= T_javafe_ast_StmtPragma (+ DIST_ZERO_1 142))) (= true_term (is EQ_29_25_26 T_int)) (= EQ_29_25_26 60) (= true_term (is ACC_FINAL_31_23_26 T_int)) (= ACC_FINAL_31_23_26 16) (= true_term (is RETURNSTMT_30_33_7 T_int)) (= RETURNSTMT_30_33_7 19) (= true_term (is NOT_29_56_26 T_int)) (= NOT_29_56_26 87) (= true_term (is CLASSLITERAL_30_60_7 T_int)) (= CLASSLITERAL_30_60_7 46) (= true_term (is ACC_STATIC_31_22_26 T_int)) (= ACC_STATIC_31_22_26 8) (= true_term (is NE_29_24_26 T_int)) (= NE_29_24_26 59) (= true_term (is EVALSTMT_30_32_7 T_int)) (= EVALSTMT_30_32_7 18) (= true_term (is UNARYSUB_29_55_26 T_int)) (= UNARYSUB_29_55_26 86) (= true_term (is METHODINVOCATION_30_59_7 T_int)) (= METHODINVOCATION_30_59_7 45) (= true_term (is BITAND_29_23_26 T_int)) (= BITAND_29_23_26 58) (= true_term (is SYNCHRONIZESTMT_30_31_7 T_int)) (= SYNCHRONIZESTMT_30_31_7 17) (= true_term (is UNARYADD_29_54_26 T_int)) (= UNARYADD_29_54_26 85) (= true_term (is AMBIGUOUSMETHODINVOCATION_30_58_7 T_int)) (= AMBIGUOUSMETHODINVOCATION_30_58_7 44) (= true_term (is otherCodes_27_202_27 ?v_0)) (not (= otherCodes_27_202_27 null)) (= (typeof otherCodes_27_202_27) ?v_0) (= (arrayLength otherCodes_27_202_27) 15) (= true_term (is BITXOR_29_22_26 T_int)) (= BITXOR_29_22_26 57) (= true_term (is DOSTMT_30_30_7 T_int)) (= DOSTMT_30_30_7 16) (= true_term (is ASGBITXOR_29_51_26 T_int)) (= ASGBITXOR_29_51_26 84) (= true_term (is FIELDACCESS_30_57_7 T_int)) (= FIELDACCESS_30_57_7 43) (= true_term (is punctuationStrings_27_134_22 ?v_1)) (not (= punctuationStrings_27_134_22 null)) (= (typeof punctuationStrings_27_134_22) ?v_1) (= (arrayLength punctuationStrings_27_134_22) 48) (= true_term (is CHECKED_5_776_28 T_int)) (= CHECKED_5_776_28 6) (= true_term (is BITOR_29_21_26 T_int)) (= BITOR_29_21_26 56) (= true_term (is WHILESTMT_30_29_7 T_int)) (= WHILESTMT_30_29_7 15) (= true_term (is ASGBITOR_29_50_26 T_int)) (= ASGBITOR_29_50_26 83) (= true_term (is PREPPED_5_775_28 T_int)) (= PREPPED_5_775_28 5) (= true_term (is VARIABLEACCESS_30_56_7 T_int)) (= VARIABLEACCESS_30_56_7 42) (= true_term (is CLASSDECLSTMT_30_28_7 T_int)) (= CLASSDECLSTMT_30_28_7 14) (= true_term (is ASGBITAND_29_49_26 T_int)) (= ASGBITAND_29_49_26 82) (= true_term (is AMBIGUOUSVARIABLEACCESS_30_55_7 T_int)) (= AMBIGUOUSVARIABLEACCESS_30_55_7 41) (= true_term (is AND_29_20_26 T_int)) (= AND_29_20_26 55) (= true_term (is VARDECLSTMT_30_27_7 T_int)) (= VARDECLSTMT_30_27_7 13) (= true_term (is ASGURSHIFT_29_48_26 T_int)) (= ASGURSHIFT_29_48_26 81) (= true_term (is PARENEXPR_30_54_7 T_int)) (= PARENEXPR_30_54_7 40) (= true_term (is NULLLIT_28_45_26 T_int)) (= NULLLIT_28_45_26 111) (= true_term (is PARSED_5_772_28 T_int)) (= PARSED_5_772_28 2) (= true_term (is OR_29_19_26 T_int)) (= OR_29_19_26 54) (= true_term (is SWITCHSTMT_30_26_7 T_int)) (= SWITCHSTMT_30_26_7 12) (= true_term (is ASGRSHIFT_29_47_26 T_int)) (= ASGRSHIFT_29_47_26 80) (= true_term (is CASTEXPR_30_53_7 T_int)) (= CASTEXPR_30_53_7 39) (= true_term (is LAST_KEYWORD_27_103_26 T_int)) (= LAST_KEYWORD_27_103_26 183) (= true_term (is STRINGLIT_28_44_26 T_int)) (= STRINGLIT_28_44_26 110) (= true_term (is BLOCKSTMT_30_25_7 T_int)) (= BLOCKSTMT_30_25_7 11) (= true_term (is NULL_27_82_26 T_int)) (= NULL_27_82_26 163) (= true_term (is ASGLSHIFT_29_46_26 T_int)) (= ASGLSHIFT_29_46_26 79) (= true_term (is INSTANCEOFEXPR_30_52_7 T_int)) (= INSTANCEOFEXPR_30_52_7 38) (= true_term (is DOUBLELIT_28_43_26 T_int)) (= DOUBLELIT_28_43_26 109) (= true_term (is FORMALPARADECL_30_24_7 T_int)) (= FORMALPARADECL_30_24_7 10) (= true_term (is map_5_301_35 T_java_util_Hashtable)) (not (= map_5_301_35 null)) (= (typeof map_5_301_35) T_java_util_Hashtable) (= true_term (is ASGSUB_29_45_26 T_int)) (= ASGSUB_29_45_26 78) (= true_term (is CONDEXPR_30_51_7 T_int)) (= CONDEXPR_30_51_7 37) (= true_term (is otherStrings_27_193_30 ?v_1)) (not (= otherStrings_27_193_30 null)) (= (typeof otherStrings_27_193_30) ?v_1) (= (arrayLength otherStrings_27_193_30) 15) (= true_term (is FLOATLIT_28_42_26 T_int)) (= FLOATLIT_28_42_26 108) (= true_term (is FIELDDECL_30_23_7 T_int)) (= FIELDDECL_30_23_7 9) (= true_term (is ASGADD_29_44_26 T_int)) (= ASGADD_29_44_26 77) (= true_term (is NEWARRAYEXPR_30_50_7 T_int)) (= NEWARRAYEXPR_30_50_7 36) (= true_term (is CHARLIT_28_41_26 T_int)) (= CHARLIT_28_41_26 107) (= true_term (is LOCALVARDECL_30_22_7 T_int)) (= LOCALVARDECL_30_22_7 8) (= true_term (is whereDecoration_20_597_41 T_javafe_ast_ASTDecoration)) (not (= whereDecoration_20_597_41 null)) (= (typeof whereDecoration_20_597_41) T_javafe_ast_ASTDecoration) (= true_term (is ASGREM_29_43_26 T_int)) (= ASGREM_29_43_26 76) (= true_term (is NEWINSTANCEEXPR_30_49_7 T_int)) (= NEWINSTANCEEXPR_30_49_7 35) (= true_term (is LONGLIT_28_40_26 T_int)) (= LONGLIT_28_40_26 106) (= true_term (is INITBLOCK_30_21_7 T_int)) (= INITBLOCK_30_21_7 7) (= true_term (is ASGDIV_29_42_26 T_int)) (= ASGDIV_29_42_26 75) (= true_term (is ARRAYREFEXPR_30_48_7 T_int)) (= ARRAYREFEXPR_30_48_7 34) (= true_term (is INTLIT_28_39_26 T_int)) (= INTLIT_28_39_26 105) (= true_term (is METHODDECL_30_20_7 T_int)) (= METHODDECL_30_20_7 6) (= true_term (is ASGMUL_29_41_26 T_int)) (= ASGMUL_29_41_26 74) (= true_term (is THISEXPR_30_47_7 T_int)) (= THISEXPR_30_47_7 33) (= true_term (is BOOLEANLIT_28_38_26 T_int)) (= BOOLEANLIT_28_38_26 104) (= true_term (is CONSTRUCTORDECL_30_19_7 T_int)) (= CONSTRUCTORDECL_30_19_7 5) (= true_term (is ASSIGN_29_40_26 T_int)) (= ASSIGN_29_40_26 73) (= true_term (is TYPEMODIFIERPRAGMA_27_28_26 T_int)) (= TYPEMODIFIERPRAGMA_27_28_26 118) (= true_term (is ARRAYINIT_30_46_7 T_int)) (= ARRAYINIT_30_46_7 32) (= true_term (is SHORTTYPE_28_36_26 T_int)) (= SHORTTYPE_28_36_26 103) (= true_term (is INTERFACEDECL_30_18_7 T_int)) (= INTERFACEDECL_30_18_7 4) (= true_term (is TYPESIG_26_6_28 T_int)) (= TYPESIG_26_6_28 184) (= true_term (is STAR_29_37_26 T_int)) (= STAR_29_37_26 72) (= true_term (is CATCHCLAUSE_30_45_7 T_int)) (= CATCHCLAUSE_30_45_7 31) (= true_term (is NOTACCESSIBLE_86_13_26 T_int)) (= NOTACCESSIBLE_86_13_26 3) (= true_term (is TYPEDECLELEMPRAGMA_27_27_26 T_int)) (= TYPEDECLELEMPRAGMA_27_27_26 117) (= true_term (is BYTETYPE_28_35_26 T_int)) (= BYTETYPE_28_35_26 102) (= true_term (is CLASSDECL_30_17_7 T_int)) (= CLASSDECL_30_17_7 3) (= true_term (is MOD_29_36_26 T_int)) (= MOD_29_36_26 71) (= true_term (is BADTYPECOMBO_86_12_26 T_int)) (= BADTYPECOMBO_86_12_26 2) (= true_term (is CONSTRUCTORINVOCATION_30_44_7 T_int)) (= CONSTRUCTORINVOCATION_30_44_7 30) (= true_term (is NULLTYPE_28_34_26 T_int)) (= NULLTYPE_28_34_26 101) (= true_term (is STMTPRAGMA_27_26_26 T_int)) (= STMTPRAGMA_27_26_26 116) (= true_term (is ONDEMANDIMPORTDECL_30_16_7 T_int)) (= ONDEMANDIMPORTDECL_30_16_7 2) (= true_term (is DIV_29_35_26 T_int)) (= DIV_29_35_26 70) (= true_term (is TRYCATCHSTMT_30_43_7 T_int)) (= TRYCATCHSTMT_30_43_7 29) (= true_term (is VOIDTYPE_28_33_26 T_int)) (= VOIDTYPE_28_33_26 100) (= true_term (is SINGLETYPEIMPORTDECL_30_15_7 T_int)) (= SINGLETYPEIMPORTDECL_30_15_7 1) (= true_term (is SUB_29_34_26 T_int)) (= SUB_29_34_26 69) (= true_term (is AMBIGUOUS_86_11_26 T_int)) (= AMBIGUOUS_86_11_26 1) (= true_term (is MODIFIERPRAGMA_27_25_26 T_int)) (= MODIFIERPRAGMA_27_25_26 115) (= true_term (is TRYFINALLYSTMT_30_42_7 T_int)) (= TRYFINALLYSTMT_30_42_7 28) (= true_term (is DOUBLETYPE_28_32_26 T_int)) (= DOUBLETYPE_28_32_26 99) (= true_term (is NOTFOUND_86_10_26 T_int)) (= NOTFOUND_86_10_26 0) (= true_term (is COMPILATIONUNIT_30_14_7 T_int)) (= COMPILATIONUNIT_30_14_7 0) (= true_term (is ADD_29_33_26 T_int)) (= ADD_29_33_26 68) (= true_term (is FIRST_KEYWORD_27_51_26 T_int)) (= FIRST_KEYWORD_27_51_26 133) (= true_term (is SWITCHLABEL_30_41_7 T_int)) (= SWITCHLABEL_30_41_7 27) (= true_term (is LEXICALPRAGMA_27_24_26 T_int)) (= LEXICALPRAGMA_27_24_26 114) (= true_term (is FLOATTYPE_28_31_26 T_int)) (= FLOATTYPE_28_31_26 98) (= true_term (is URSHIFT_29_32_26 T_int)) (= URSHIFT_29_32_26 67) (= true_term (is SKIPSTMT_30_40_7 T_int)) (= SKIPSTMT_30_40_7 26) (= true_term (is COMPOUNDNAME_30_67_7 T_int)) (= COMPOUNDNAME_30_67_7 53) (= true_term (is CHARTYPE_28_30_26 T_int)) (= CHARTYPE_28_30_26 97) (= true_term (is RSHIFT_29_31_26 T_int)) (= RSHIFT_29_31_26 66) (= true_term (is FORSTMT_30_39_7 T_int)) (= FORSTMT_30_39_7 25) (= true_term (is SIMPLENAME_30_66_7 T_int)) (= SIMPLENAME_30_66_7 52) (= true_term (is LONGTYPE_28_29_26 T_int)) (= LONGTYPE_28_29_26 96) (= true_term (is LSHIFT_29_30_26 T_int)) (= LSHIFT_29_30_26 65) (= true_term (is IFSTMT_30_38_7 T_int)) (= IFSTMT_30_38_7 24) (= true_term (is POSTFIXDEC_29_63_26 T_int)) (= POSTFIXDEC_29_63_26 92) (= true_term (is ARRAYTYPE_30_65_7 T_int)) (= ARRAYTYPE_30_65_7 51) (= true_term (is INTTYPE_28_28_26 T_int)) (= INTTYPE_28_28_26 95) (= true_term (is LT_29_29_26 T_int)) (= LT_29_29_26 64) (= true_term (is LABELSTMT_30_37_7 T_int)) (= LABELSTMT_30_37_7 23) (= true_term (is POSTFIXINC_29_62_26 T_int)) (= POSTFIXINC_29_62_26 91) (= true_term (is noTokens_27_212_27 T_int)) (= true_term (is TYPENAME_30_64_7 T_int)) (= TYPENAME_30_64_7 50) (= true_term (is BOOLEANTYPE_28_27_26 T_int)) (= BOOLEANTYPE_28_27_26 94) (= true_term (is LE_29_28_26 T_int)) (= LE_29_28_26 63) (= true_term (is CONTINUESTMT_30_36_7 T_int)) (= CONTINUESTMT_30_36_7 22) (= true_term (is punctuationCodes_27_164_19 ?v_0)) (not (= punctuationCodes_27_164_19 null)) (= (typeof punctuationCodes_27_164_19) ?v_0) (= (arrayLength punctuationCodes_27_164_19) 48) (= true_term (is DEC_29_59_26 T_int)) (= DEC_29_59_26 90) (= true_term (is SUPEROBJECTDESIGNATOR_30_63_7 T_int)) (= SUPEROBJECTDESIGNATOR_30_63_7 49) (= true_term (is IDENT_28_25_26 T_int)) (= IDENT_28_25_26 93) (= true_term (is GT_29_27_26 T_int)) (= GT_29_27_26 62) (= true_term (is BREAKSTMT_30_35_7 T_int)) (= BREAKSTMT_30_35_7 21) (= true_term (is INC_29_58_26 T_int)) (= INC_29_58_26 89) (= true_term (is TYPEOBJECTDESIGNATOR_30_62_7 T_int)) (= TYPEOBJECTDESIGNATOR_30_62_7 48) (= true_term (is sigDecoration_5_104_38 T_javafe_ast_ASTDecoration)) (not (= sigDecoration_5_104_38 null)) (= (typeof sigDecoration_5_104_38) T_javafe_ast_ASTDecoration) (= true_term (is GE_29_26_26 T_int)) (= GE_29_26_26 61) (= true_term (is keywordStrings_27_181_30 ?v_1)) (not (= keywordStrings_27_181_30 null)) (= (typeof keywordStrings_27_181_30) ?v_1) (= (arrayLength keywordStrings_27_181_30) 51) (= true_term (is THROWSTMT_30_34_7 T_int)) (= THROWSTMT_30_34_7 20) (= true_term (is NULL_44_60_26 T_int)) (= NULL_44_60_26 0) (= true_term (is BITNOT_29_57_26 T_int)) (= BITNOT_29_57_26 88) (= true_term (is EXPROBJECTDESIGNATOR_30_61_7 T_int)) (= EXPROBJECTDESIGNATOR_30_61_7 47))))
+(declare-fun EQ_pre_29_25_26 () Int)
+(declare-fun tmodifiers_pre_7_30_33 () Int)
+(declare-fun tmodifiers_7_30_33 () Int)
+(declare-fun ACC_FINAL_pre_31_23_26 () Int)
+(declare-fun RETURNSTMT_pre_30_33_7 () Int)
+(declare-fun expr_pre_78_15_28 () Int)
+(declare-fun expr_78_15_28 () Int)
+(declare-fun expr_pre_81_15_28 () Int)
+(declare-fun expr_81_15_28 () Int)
+(declare-fun NOT_pre_29_56_26 () Int)
+(declare-fun loc_pre_164_16_13 () Int)
+(declare-fun loc_164_16_13 () Int)
+(declare-fun ids_pre_167_19_37 () Int)
+(declare-fun ids_167_19_37 () Int)
+(declare-fun locOpenBracket_pre_89_21_13 () Int)
+(declare-fun locOpenBracket_89_21_13 () Int)
+(declare-fun locOpenParen_pre_42_48_13 () Int)
+(declare-fun locOpenParen_42_48_13 () Int)
+(declare-fun name_pre_107_20_28 () Int)
+(declare-fun name_107_20_28 () Int)
+(declare-fun CLASSLITERAL_pre_30_60_7 () Int)
+(declare-fun ACC_STATIC_pre_31_22_26 () Int)
+(declare-fun elements_pre_45_61_37 () Int)
+(declare-fun elements_45_61_37 () Int)
+(declare-fun state_pre_5_787_15 () Int)
+(declare-fun state_5_787_15 () Int)
+(declare-fun id_pre_33_15_34 () Int)
+(declare-fun id_33_15_34 () Int)
+(declare-fun stmt_pre_73_17_28 () Int)
+(declare-fun stmt_73_17_28 () Int)
+(declare-fun locCloseBrace_pre_18_54_13 () Int)
+(declare-fun locCloseBrace_18_54_13 () Int)
+(declare-fun NE_pre_29_24_26 () Int)
+(declare-fun loc_pre_74_33_13 () Int)
+(declare-fun loc_74_33_13 () Int)
+(declare-fun EVALSTMT_pre_30_32_7 () Int)
+(declare-fun syntax_pre_7_28_29 () Int)
+(declare-fun syntax_7_28_29 () Int)
+(declare-fun sig_pre_48_39 () Int)
+(declare-fun sig_48_39 () Int)
+(declare-fun UNARYSUB_pre_29_55_26 () Int)
+(declare-fun METHODINVOCATION_pre_30_59_7 () Int)
+(declare-fun loc_pre_165_20_13 () Int)
+(declare-fun loc_165_20_13 () Int)
+(declare-fun type_pre_23_35_28 () Int)
+(declare-fun type_23_35_28 () Int)
+(declare-fun nullType_pre_38_131_4 () Int)
+(declare-fun nullType_38_131_4 () Int)
+(declare-fun BITAND_pre_29_23_26 () Int)
+(declare-fun SYNCHRONIZESTMT_pre_30_31_7 () Int)
+(declare-fun parent_pre_52_18_18 () Int)
+(declare-fun parent_52_18_18 () Int)
+(declare-fun UNARYADD_pre_29_54_26 () Int)
+(declare-fun AMBIGUOUSMETHODINVOCATION_pre_30_58_7 () Int)
+(declare-fun label_pre_73_15_34 () Int)
+(declare-fun label_73_15_34 () Int)
+(declare-fun otherCodes_pre_27_202_27 () Int)
+(declare-fun BITXOR_pre_29_22_26 () Int)
+(declare-fun loc_pre_80_20_13 () Int)
+(declare-fun loc_80_20_13 () Int)
+(declare-fun DOSTMT_pre_30_30_7 () Int)
+(declare-fun loc_pre_112_22_13 () Int)
+(declare-fun loc_112_22_13 () Int)
+(declare-fun type_pre_99_24_28 () Int)
+(declare-fun type_99_24_28 () Int)
+(declare-fun ASGBITXOR_pre_29_51_26 () Int)
+(declare-fun FIELDACCESS_pre_30_57_7 () Int)
+(declare-fun locKeyword_pre_42_45_13 () Int)
+(declare-fun locKeyword_42_45_13 () Int)
+(declare-fun punctuationStrings_pre_27_134_22 () Int)
+(declare-fun length_pre_98_50_25 () Int)
+(declare-fun length_98_50_25 () Int)
+(declare-fun loc_pre_39_35_13 () Int)
+(declare-fun loc_39_35_13 () Int)
+(declare-fun CHECKED_pre_5_776_28 () Int)
+(declare-fun locCloseBracket_pre_94_23_13 () Int)
+(declare-fun locCloseBracket_94_23_13 () Int)
+(declare-fun BITOR_pre_29_21_26 () Int)
+(declare-fun loc_pre_85_22_13 () Int)
+(declare-fun loc_85_22_13 () Int)
+(declare-fun count_pre_166_67_33 () Int)
+(declare-fun count_166_67_33 () Int)
+(declare-fun body_pre_74_30_28 () Int)
+(declare-fun body_74_30_28 () Int)
+(declare-fun WHILESTMT_pre_30_29_7 () Int)
+(declare-fun count_pre_90_67_33 () Int)
+(declare-fun count_90_67_33 () Int)
+(declare-fun permitsNullValue_pre_64_31_27 () Int)
+(declare-fun permitsNullValue_64_31_27 () Int)
+(declare-fun locOpenBrace_pre_18_51_13 () Int)
+(declare-fun locOpenBrace_18_51_13 () Int)
+(declare-fun ASGBITOR_pre_29_50_26 () Int)
+(declare-fun fieldSeq_pre_48_162_38 () Int)
+(declare-fun fieldSeq_48_162_38 () Int)
+(declare-fun PREPPED_pre_5_775_28 () Int)
+(declare-fun VARIABLEACCESS_pre_30_56_7 () Int)
+(declare-fun elemType_pre_89_18_28 () Int)
+(declare-fun elemType_89_18_28 () Int)
+(declare-fun locDots_pre_167_31_29 () Int)
+(declare-fun locDots_167_31_29 () Int)
+(declare-fun count_pre_122_67_33 () Int)
+(declare-fun count_122_67_33 () Int)
+(declare-fun CLASSDECLSTMT_pre_30_28_7 () Int)
+(declare-fun locOpenBrackets_pre_99_65_29 () Int)
+(declare-fun locOpenBrackets_99_65_29 () Int)
+(declare-fun decl_pre_95_55_25 () Int)
+(declare-fun decl_95_55_25 () Int)
+(declare-fun charType_pre_38_127_4 () Int)
+(declare-fun charType_38_127_4 () Int)
+(declare-fun ASGBITAND_pre_29_49_26 () Int)
+(declare-fun elements_pre_24_61_43 () Int)
+(declare-fun elements_24_61_43 () Int)
+(declare-fun locOpenBrace_pre_32_36_13 () Int)
+(declare-fun locOpenBrace_32_36_13 () Int)
+(declare-fun AMBIGUOUSVARIABLEACCESS_pre_30_55_7 () Int)
+(declare-fun decl_pre_59_35_37 () Int)
+(declare-fun decl_59_35_37 () Int)
+(declare-fun id_pre_23_32_34 () Int)
+(declare-fun id_23_32_34 () Int)
+(declare-fun locDot_pre_108_21_13 () Int)
+(declare-fun locDot_108_21_13 () Int)
+(declare-fun locCloseParen_pre_102_24_13 () Int)
+(declare-fun locCloseParen_102_24_13 () Int)
+(declare-fun locOpenParen_pre_95_52_13 () Int)
+(declare-fun locOpenParen_95_52_13 () Int)
+(declare-fun body_pre_32_34_19 () Int)
+(declare-fun body_32_34_19 () Int)
+(declare-fun stmt_pre_80_17_33 () Int)
+(declare-fun stmt_80_17_33 () Int)
+(declare-fun forUpdate_pre_74_28_31 () Int)
+(declare-fun forUpdate_74_28_31 () Int)
+(declare-fun loc_pre_76_20_13 () Int)
+(declare-fun loc_76_20_13 () Int)
+(declare-fun AND_pre_29_20_26 () Int)
+(declare-fun VARDECLSTMT_pre_30_27_7 () Int)
+(declare-fun id_pre_112_19_34 () Int)
+(declare-fun id_112_19_34 () Int)
+(declare-fun ASGURSHIFT_pre_29_48_26 () Int)
+(declare-fun elements_pre_123_61_39 () Int)
+(declare-fun elements_123_61_39 () Int)
+(declare-fun PARENEXPR_pre_30_54_7 () Int)
+(declare-fun locDot_pre_42_41_13 () Int)
+(declare-fun locDot_42_41_13 () Int)
+(declare-fun raises_pre_32_32_35 () Int)
+(declare-fun raises_32_32_35 () Int)
+(declare-fun typeDecoration_pre_1853_31 () Int)
+(declare-fun typeDecoration_1853_31 () Int)
+(declare-fun elementType_pre_64_26_25 () Int)
+(declare-fun elementType_64_26_25 () Int)
+(declare-fun tag_pre_39_30_13 () Int)
+(declare-fun tag_39_30_13 () Int)
+(declare-fun NULLLIT_pre_28_45_26 () Int)
+(declare-fun body_pre_85_19_33 () Int)
+(declare-fun body_85_19_33 () Int)
+(declare-fun PARSED_pre_5_772_28 () Int)
+(declare-fun OR_pre_29_19_26 () Int)
+(declare-fun SWITCHSTMT_pre_30_26_7 () Int)
+(declare-fun locOpenBracket_pre_94_20_13 () Int)
+(declare-fun locOpenBracket_94_20_13 () Int)
+(declare-fun ASGRSHIFT_pre_29_47_26 () Int)
+(declare-fun decl_pre_91_28_19 () Int)
+(declare-fun decl_91_28_19 () Int)
+(declare-fun locId_pre_18_48_13 () Int)
+(declare-fun locId_18_48_13 () Int)
+(declare-fun CASTEXPR_pre_30_53_7 () Int)
+(declare-fun LAST_KEYWORD_pre_27_103_26 () Int)
+(declare-fun elements_pre_43_61_33 () Int)
+(declare-fun elements_43_61_33 () Int)
+(declare-fun pmodifiers_pre_23_30_27 () Int)
+(declare-fun pmodifiers_23_30_27 () Int)
+(declare-fun expr_pre_80_15_28 () Int)
+(declare-fun expr_80_15_28 () Int)
+(declare-fun test_pre_74_26_28 () Int)
+(declare-fun test_74_26_28 () Int)
+(declare-fun STRINGLIT_pre_28_44_26 () Int)
+(declare-fun locColon_pre_100_25_13 () Int)
+(declare-fun locColon_100_25_13 () Int)
+(declare-fun BLOCKSTMT_pre_30_25_7 () Int)
+(declare-fun inst_pre_48_25_52 () Int)
+(declare-fun inst_48_25_52 () Int)
+(declare-fun NULL_pre_27_82_26 () Int)
+(declare-fun ASGLSHIFT_pre_29_46_26 () Int)
+(declare-fun modifiers_pre_23_28_13 () Int)
+(declare-fun modifiers_23_28_13 () Int)
+(declare-fun INSTANCEOFEXPR_pre_30_52_7 () Int)
+(declare-fun args_pre_32_30_41 () Int)
+(declare-fun args_32_30_41 () Int)
+(declare-fun tokenType_pre_58_90_8 () Int)
+(declare-fun tokenType_58_90_8 () Int)
+(declare-fun longType_pre_38_123_4 () Int)
+(declare-fun longType_38_123_4 () Int)
+(declare-fun elements_pre_16_61_33 () Int)
+(declare-fun elements_16_61_33 () Int)
+(declare-fun superClass_pre_57_15_18 () Int)
+(declare-fun superClass_57_15_18 () Int)
+(declare-fun arg_pre_85_17_38 () Int)
+(declare-fun arg_85_17_38 () Int)
+(declare-fun DOUBLELIT_pre_28_43_26 () Int)
+(declare-fun stmt_pre_76_17_28 () Int)
+(declare-fun stmt_76_17_28 () Int)
+(declare-fun loc_pre_95_49_13 () Int)
+(declare-fun loc_95_49_13 () Int)
+(declare-fun FORMALPARADECL_pre_30_24_7 () Int)
+(declare-fun map_pre_5_301_35 () Int)
+(declare-fun locOpenParen_pre_102_21_13 () Int)
+(declare-fun locOpenParen_102_21_13 () Int)
+(declare-fun locDot_pre_103_23_13 () Int)
+(declare-fun locDot_103_23_13 () Int)
+(declare-fun ASGSUB_pre_29_45_26 () Int)
+(declare-fun permitsNullKey_pre_64_21_27 () Int)
+(declare-fun permitsNullKey_64_21_27 () Int)
+(declare-fun elements_pre_21_61_41 () Int)
+(declare-fun elements_21_61_41 () Int)
+(declare-fun CONDEXPR_pre_30_51_7 () Int)
+(declare-fun decl_pre_111_34_20 () Int)
+(declare-fun decl_111_34_20 () Int)
+(declare-fun otherStrings_pre_27_193_30 () Int)
+(declare-fun elements_pre_153_72_21 () Int)
+(declare-fun elements_153_72_21 () Int)
+(declare-fun loc_pre_66_29_13 () Int)
+(declare-fun loc_66_29_13 () Int)
+(declare-fun forInit_pre_74_24_31 () Int)
+(declare-fun forInit_74_24_31 () Int)
+(declare-fun FLOATLIT_pre_28_42_26 () Int)
+(declare-fun locGuardOpenParen_pre_75_23_13 () Int)
+(declare-fun locGuardOpenParen_75_23_13 () Int)
+(declare-fun loc_pre_101_21_13 () Int)
+(declare-fun loc_101_21_13 () Int)
+(declare-fun FIELDDECL_pre_30_23_7 () Int)
+(declare-fun count_pre_118_67_33 () Int)
+(declare-fun count_118_67_33 () Int)
+(declare-fun decorationType_pre_115_48_27 () Int)
+(declare-fun decorationType_115_48_27 () Int)
+(declare-fun ASGADD_pre_29_44_26 () Int)
+(declare-fun index_pre_94_17_28 () Int)
+(declare-fun index_94_17_28 () Int)
+(declare-fun loc_pre_18_45_13 () Int)
+(declare-fun loc_18_45_13 () Int)
+(declare-fun enclosingEnv_pre_5_52_36 () Int)
+(declare-fun enclosingEnv_5_52_36 () Int)
+(declare-fun NEWARRAYEXPR_pre_30_50_7 () Int)
+(declare-fun enclosingInstance_pre_42_37_14 () Int)
+(declare-fun enclosingInstance_42_37_14 () Int)
+(declare-fun elements_pre_84_61_40 () Int)
+(declare-fun elements_84_61_40 () Int)
+(declare-fun dontAddImplicitConstructorInvocations_pre_23_26 () Int)
+(declare-fun dontAddImplicitConstructorInvocations_23_26 () Int)
+(declare-fun locId_pre_91_24_13 () Int)
+(declare-fun locId_91_24_13 () Int)
+(declare-fun CHARLIT_pre_28_41_26 () Int)
+(declare-fun expr_pre_76_15_28 () Int)
+(declare-fun expr_76_15_28 () Int)
+(declare-fun LOCALVARDECL_pre_30_22_7 () Int)
+(declare-fun enclosingLabels_pre_77_22 () Int)
+(declare-fun enclosingLabels_77_22 () Int)
+(declare-fun whereDecoration_pre_20_597_41 () Int)
+(declare-fun ASGREM_pre_29_43_26 () Int)
+(declare-fun locQuestion_pre_100_22_13 () Int)
+(declare-fun locQuestion_100_22_13 () Int)
+(declare-fun pmodifiers_pre_32_26_27 () Int)
+(declare-fun pmodifiers_32_26_27 () Int)
+(declare-fun NEWINSTANCEEXPR_pre_30_49_7 () Int)
+(declare-fun loc_pre_92_29_13 () Int)
+(declare-fun loc_92_29_13 () Int)
+(declare-fun LONGLIT_pre_28_40_26 () Int)
+(declare-fun overridesDecoration_pre_48_154_45 () Int)
+(declare-fun overridesDecoration_48_154_45 () Int)
+(declare-fun modifiers_pre_32_24_13 () Int)
+(declare-fun modifiers_32_24_13 () Int)
+(declare-fun anonDecl_pre_95_45_19 () Int)
+(declare-fun anonDecl_95_45_19 () Int)
+(declare-fun keyType_pre_64_16_25 () Int)
+(declare-fun keyType_64_16_25 () Int)
+(declare-fun INITBLOCK_pre_30_21_7 () Int)
+(declare-fun loc_pre_83_23_13 () Int)
+(declare-fun loc_83_23_13 () Int)
+(declare-fun floatType_pre_38_119_4 () Int)
+(declare-fun floatType_38_119_4 () Int)
+(declare-fun type_pre_102_18_28 () Int)
+(declare-fun type_102_18_28 () Int)
+(declare-fun array_pre_94_15_28 () Int)
+(declare-fun array_94_15_28 () Int)
+(declare-fun ASGDIV_pre_29_42_26 () Int)
+(declare-fun ARRAYREFEXPR_pre_30_48_7 () Int)
+(declare-fun expr_pre_66_26_14 () Int)
+(declare-fun expr_66_26_14 () Int)
+(declare-fun type_pre_109_27_28 () Int)
+(declare-fun type_109_27_28 () Int)
+(declare-fun locCloseBrace_pre_40_25_13 () Int)
+(declare-fun locCloseBrace_40_25_13 () Int)
+(declare-fun INTLIT_pre_28_39_26 () Int)
+(declare-fun type_pre_101_18_28 () Int)
+(declare-fun type_101_18_28 () Int)
+(declare-fun METHODDECL_pre_30_20_7 () Int)
+(declare-fun args_pre_111_30_31 () Int)
+(declare-fun args_111_30_31 () Int)
+(declare-fun ht_pre_155_33_36 () Int)
+(declare-fun ht_155_33_36 () Int)
+(declare-fun ASGMUL_pre_29_41_26 () Int)
+(declare-fun typeEnv_pre_20_323_32 () Int)
+(declare-fun typeEnv_20_323_32 () Int)
+(declare-fun elems_pre_18_41_39 () Int)
+(declare-fun elems_18_41_39 () Int)
+(declare-fun lengthFieldDecl_pre_38_917_40 () Int)
+(declare-fun lengthFieldDecl_38_917_40 () Int)
+(declare-fun THISEXPR_pre_30_47_7 () Int)
+(declare-fun parent_pre_32_21_18 () Int)
+(declare-fun parent_32_21_18 () Int)
+(declare-fun count_pre_47_67_33 () Int)
+(declare-fun count_47_67_33 () Int)
+(declare-fun locFinally_pre_82_25_13 () Int)
+(declare-fun locFinally_82_25_13 () Int)
+(declare-fun count_pre_15_67_33 () Int)
+(declare-fun count_15_67_33 () Int)
+(declare-fun loc_pre_60_18_13 () Int)
+(declare-fun loc_60_18_13 () Int)
+(declare-fun type_pre_103_20_28 () Int)
+(declare-fun type_103_20_28 () Int)
+(declare-fun id_pre_91_21_34 () Int)
+(declare-fun id_91_21_34 () Int)
+(declare-fun BOOLEANLIT_pre_28_38_26 () Int)
+(declare-fun loc_pre_72_18_13 () Int)
+(declare-fun loc_72_18_13 () Int)
+(declare-fun CONSTRUCTORDECL_pre_30_19_7 () Int)
+(declare-fun ASSIGN_pre_29_40_26 () Int)
+(declare-fun TYPEMODIFIERPRAGMA_pre_27_28_26 () Int)
+(declare-fun ARRAYINIT_pre_30_46_7 () Int)
+(declare-fun els_pre_100_19_28 () Int)
+(declare-fun els_100_19_28 () Int)
+(declare-fun member_pre_5_44_39 () Int)
+(declare-fun member_5_44_39 () Int)
+(declare-fun args_pre_95_34_31 () Int)
+(declare-fun args_95_34_31 () Int)
+(declare-fun classPrefix_pre_92_25_14 () Int)
+(declare-fun classPrefix_92_25_14 () Int)
+(declare-fun loc_pre_75_20_13 () Int)
+(declare-fun loc_75_20_13 () Int)
+(declare-fun SHORTTYPE_pre_28_36_26 () Int)
+(declare-fun locOpenParen_pre_111_28_13 () Int)
+(declare-fun locOpenParen_111_28_13 () Int)
+(declare-fun INTERFACEDECL_pre_30_18_7 () Int)
+(declare-fun inst_pre_93_29_44 () Int)
+(declare-fun inst_93_29_44 () Int)
+(declare-fun init_pre_22_20_17 () Int)
+(declare-fun init_22_20_17 () Int)
+(declare-fun TYPESIG_pre_26_6_28 () Int)
+(declare-fun STAR_pre_29_37_26 () Int)
+(declare-fun locCloseParen_pre_106_21_13 () Int)
+(declare-fun locCloseParen_106_21_13 () Int)
+(declare-fun allowedExceptions_pre_74_25 () Int)
+(declare-fun allowedExceptions_74_25 () Int)
+(declare-fun CATCHCLAUSE_pre_30_45_7 () Int)
+(declare-fun elements_pre_166_61_39 () Int)
+(declare-fun elements_166_61_39 () Int)
+(declare-fun elements_pre_90_61_36 () Int)
+(declare-fun elements_90_61_36 () Int)
+(declare-fun methods_pre_5_883_26 () Int)
+(declare-fun methods_5_883_26 () Int)
+(declare-fun NOTACCESSIBLE_pre_86_13_26 () Int)
+(declare-fun TYPEDECLELEMPRAGMA_pre_27_27_26 () Int)
+(declare-fun od_pre_91_19_40 () Int)
+(declare-fun od_91_19_40 () Int)
+(declare-fun doubleType_pre_38_115_4 () Int)
+(declare-fun doubleType_38_115_4 () Int)
+(declare-fun parent_pre_22_18_18 () Int)
+(declare-fun parent_22_18_18 () Int)
+(declare-fun locOpenBrace_pre_40_22_13 () Int)
+(declare-fun locOpenBrace_40_22_13 () Int)
+(declare-fun BYTETYPE_pre_28_35_26 () Int)
+(declare-fun CLASSDECL_pre_30_17_7 () Int)
+(declare-fun expr_pre_102_15_28 () Int)
+(declare-fun expr_102_15_28 () Int)
+(declare-fun MOD_pre_29_36_26 () Int)
+(declare-fun constructorSeq_pre_48_171_38 () Int)
+(declare-fun constructorSeq_48_171_38 () Int)
+(declare-fun BADTYPECOMBO_pre_86_12_26 () Int)
+(declare-fun thn_pre_100_17_28 () Int)
+(declare-fun thn_100_17_28 () Int)
+(declare-fun CONSTRUCTORINVOCATION_pre_30_44_7 () Int)
+(declare-fun superInterfaces_pre_18_34_35 () Int)
+(declare-fun superInterfaces_18_34_35 () Int)
+(declare-fun elements_pre_122_61_38 () Int)
+(declare-fun elements_122_61_38 () Int)
+(declare-fun catchClauses_pre_83_20_38 () Int)
+(declare-fun catchClauses_83_20_38 () Int)
+(declare-fun locIds_pre_167_25_29 () Int)
+(declare-fun locIds_167_25_29 () Int)
+(declare-fun count_pre_45_67_33 () Int)
+(declare-fun count_45_67_33 () Int)
+(declare-fun type_pre_95_32_32 () Int)
+(declare-fun type_95_32_32 () Int)
+(declare-fun locOp_pre_104_43_13 () Int)
+(declare-fun locOp_104_43_13 () Int)
+(declare-fun expr_pre_60_15_28 () Int)
+(declare-fun expr_60_15_28 () Int)
+(declare-fun loc_pre_82_22_13 () Int)
+(declare-fun loc_82_22_13 () Int)
+(declare-fun loc_pre_99_49_13 () Int)
+(declare-fun loc_99_49_13 () Int)
+(declare-fun expr_pre_113_22_28 () Int)
+(declare-fun expr_113_22_28 () Int)
+(declare-fun NULLTYPE_pre_28_34_26 () Int)
+(declare-fun expr_pre_101_15_28 () Int)
+(declare-fun expr_101_15_28 () Int)
+(declare-fun label_pre_72_15_20 () Int)
+(declare-fun label_72_15_20 () Int)
+(declare-fun STMTPRAGMA_pre_27_26_26 () Int)
+(declare-fun ONDEMANDIMPORTDECL_pre_30_16_7 () Int)
+(declare-fun locOp_pre_105_32_13 () Int)
+(declare-fun locOp_105_32_13 () Int)
+(declare-fun DIV_pre_29_35_26 () Int)
+(declare-fun TRYCATCHSTMT_pre_30_43_7 () Int)
+(declare-fun currentStackBottom_pre_153_87_33 () Int)
+(declare-fun currentStackBottom_153_87_33 () Int)
+(declare-fun superCall_pre_42_24_17 () Int)
+(declare-fun superCall_42_24_17 () Int)
+(declare-fun stmt_pre_75_17_28 () Int)
+(declare-fun stmt_75_17_28 () Int)
+(declare-fun simpleName_pre_5_37_38 () Int)
+(declare-fun simpleName_5_37_38 () Int)
+(declare-fun VOIDTYPE_pre_28_33_26 () Int)
+(declare-fun SINGLETYPEIMPORTDECL_pre_30_15_7 () Int)
+(declare-fun locId_pre_111_25_13 () Int)
+(declare-fun locId_111_25_13 () Int)
+(declare-fun locSuper_pre_114_20_13 () Int)
+(declare-fun locSuper_114_20_13 () Int)
+(declare-fun SUB_pre_29_34_26 () Int)
+(declare-fun AMBIGUOUS_pre_86_11_26 () Int)
+(declare-fun MODIFIERPRAGMA_pre_27_25_26 () Int)
+(declare-fun test_pre_100_15_28 () Int)
+(declare-fun test_100_15_28 () Int)
+(declare-fun locCloseBrace_pre_88_24_13 () Int)
+(declare-fun locCloseBrace_88_24_13 () Int)
+(declare-fun TRYFINALLYSTMT_pre_30_42_7 () Int)
+(declare-fun locDot_pre_95_29_13 () Int)
+(declare-fun locDot_95_29_13 () Int)
+(declare-fun decl_pre_50_38_43 () Int)
+(declare-fun decl_50_38_43 () Int)
+(declare-fun id_pre_18_32_34 () Int)
+(declare-fun id_18_32_34 () Int)
+(declare-fun tryClause_pre_83_18_28 () Int)
+(declare-fun tryClause_83_18_28 () Int)
+(declare-fun stmts_pre_40_19_31 () Int)
+(declare-fun stmts_40_19_31 () Int)
+(declare-fun loc_pre_79_22_13 () Int)
+(declare-fun loc_79_22_13 () Int)
+(declare-fun DOUBLETYPE_pre_28_32_26 () Int)
+(declare-fun NOTFOUND_pre_86_10_26 () Int)
+(declare-fun COMPILATIONUNIT_pre_30_14_7 () Int)
+(declare-fun loc_pre_77_18_13 () Int)
+(declare-fun loc_77_18_13 () Int)
+(declare-fun ADD_pre_29_33_26 () Int)
+(declare-fun intType_pre_38_111_4 () Int)
+(declare-fun intType_38_111_4 () Int)
+(declare-fun FIRST_KEYWORD_pre_27_51_26 () Int)
+(declare-fun locType_pre_33_21_13 () Int)
+(declare-fun locType_33_21_13 () Int)
+(declare-fun SWITCHLABEL_pre_30_41_7 () Int)
+(declare-fun LEXICALPRAGMA_pre_27_24_26 () Int)
+(declare-fun enclosingType_pre_5_32_39 () Int)
+(declare-fun enclosingType_5_32_39 () Int)
+(declare-fun reason_pre_86_8_13 () Int)
+(declare-fun reason_86_8_13 () Int)
+(declare-fun right_pre_104_40_28 () Int)
+(declare-fun right_104_40_28 () Int)
+(declare-fun expr_pre_75_15_28 () Int)
+(declare-fun expr_75_15_28 () Int)
+(declare-fun locOpenParen_pre_106_18_13 () Int)
+(declare-fun locOpenParen_106_18_13 () Int)
+(declare-fun finallyClause_pre_82_19_28 () Int)
+(declare-fun finallyClause_82_19_28 () Int)
+(declare-fun dims_pre_99_45_31 () Int)
+(declare-fun dims_99_45_31 () Int)
+(declare-fun FLOATTYPE_pre_28_31_26 () Int)
+(declare-fun expr_pre_105_29_28 () Int)
+(declare-fun expr_105_29_28 () Int)
+(declare-fun returnType_pre_68_19 () Int)
+(declare-fun returnType_68_19 () Int)
+(declare-fun URSHIFT_pre_29_32_26 () Int)
+(declare-fun locOpenParen_pre_110_30_13 () Int)
+(declare-fun locOpenParen_110_30_13 () Int)
+(declare-fun SKIPSTMT_pre_30_40_7 () Int)
+(declare-fun decl_pre_56_15_33 () Int)
+(declare-fun decl_56_15_33 () Int)
+(declare-fun pmodifiers_pre_18_30_27 () Int)
+(declare-fun pmodifiers_18_30_27 () Int)
+(declare-fun COMPOUNDNAME_pre_30_67_7 () Int)
+(declare-fun fields_pre_5_875_27 () Int)
+(declare-fun fields_5_875_27 () Int)
+(declare-fun CHARTYPE_pre_28_30_26 () Int)
+(declare-fun count_pre_24_67_33 () Int)
+(declare-fun count_24_67_33 () Int)
+(declare-fun init_pre_55_19_17 () Int)
+(declare-fun init_55_19_17 () Int)
+(declare-fun RSHIFT_pre_29_31_26 () Int)
+(declare-fun modifiers_pre_18_28_13 () Int)
+(declare-fun modifiers_18_28_13 () Int)
+(declare-fun CU_pre_5_71_30 () Int)
+(declare-fun CU_5_71_30 () Int)
+(declare-fun FORSTMT_pre_30_39_7 () Int)
+(declare-fun locOpenBrace_pre_88_21_13 () Int)
+(declare-fun locOpenBrace_88_21_13 () Int)
+(declare-fun tag_pre_124_32_13 () Int)
+(declare-fun tag_124_32_13 () Int)
+(declare-fun left_pre_104_38_28 () Int)
+(declare-fun left_104_38_28 () Int)
+(declare-fun elements_pre_118_61_47 () Int)
+(declare-fun elements_118_61_47 () Int)
+(declare-fun leftToRight_pre_65_22 () Int)
+(declare-fun leftToRight_65_22 () Int)
+(declare-fun specOnly_pre_18_26_17 () Int)
+(declare-fun specOnly_18_26_17 () Int)
+(declare-fun id_pre_111_20_34 () Int)
+(declare-fun id_111_20_34 () Int)
+(declare-fun SIMPLENAME_pre_30_66_7 () Int)
+(declare-fun lenId_pre_38_914_30 () Int)
+(declare-fun lenId_38_914_30 () Int)
+(declare-fun tryClause_pre_82_17_28 () Int)
+(declare-fun tryClause_82_17_28 () Int)
+(declare-fun LONGTYPE_pre_28_29_26 () Int)
+(declare-fun els_pre_79_19_28 () Int)
+(declare-fun els_79_19_28 () Int)
+(declare-fun hasParent_pre_19_149_30 () Int)
+(declare-fun hasParent_19_149_30 () Int)
+(declare-fun op_pre_105_26_13 () Int)
+(declare-fun op_105_26_13 () Int)
+(declare-fun count_pre_123_67_33 () Int)
+(declare-fun count_123_67_33 () Int)
+(declare-fun expr_pre_77_15_14 () Int)
+(declare-fun expr_77_15_14 () Int)
+(declare-fun shortType_pre_38_139_4 () Int)
+(declare-fun shortType_38_139_4 () Int)
+(declare-fun LSHIFT_pre_29_30_26 () Int)
+(declare-fun enclosingInstance_pre_95_25_14 () Int)
+(declare-fun enclosingInstance_95_25_14 () Int)
+(declare-fun IFSTMT_pre_30_38_7 () Int)
+(declare-fun POSTFIXDEC_pre_29_63_26 () Int)
+(declare-fun loc_pre_160_18_13 () Int)
+(declare-fun loc_160_18_13 () Int)
+(declare-fun booleanType_pre_38_107_4 () Int)
+(declare-fun booleanType_38_107_4 () Int)
+(declare-fun ARRAYTYPE_pre_30_65_7 () Int)
+(declare-fun expr_pre_106_15_28 () Int)
+(declare-fun expr_106_15_28 () Int)
+(declare-fun loc_pre_124_50_13 () Int)
+(declare-fun loc_124_50_13 () Int)
+(declare-fun INTTYPE_pre_28_28_26 () Int)
+(declare-fun LT_pre_29_29_26 () Int)
+(declare-fun block_pre_51_28_33 () Int)
+(declare-fun block_51_28_33 () Int)
+(declare-fun LABELSTMT_pre_30_37_7 () Int)
+(declare-fun count_pre_43_67_33 () Int)
+(declare-fun count_43_67_33 () Int)
+(declare-fun POSTFIXINC_pre_29_62_26 () Int)
+(declare-fun op_pre_104_35_13 () Int)
+(declare-fun op_104_35_13 () Int)
+(declare-fun locId_pre_32_43_13 () Int)
+(declare-fun locId_32_43_13 () Int)
+(declare-fun noTokens_pre_27_212_27 () Int)
+(declare-fun od_pre_111_18_40 () Int)
+(declare-fun od_111_18_40 () Int)
+(declare-fun TYPENAME_pre_30_64_7 () Int)
+(declare-fun thn_pre_79_17_28 () Int)
+(declare-fun thn_79_17_28 () Int)
+(declare-fun BOOLEANTYPE_pre_28_27_26 () Int)
+(declare-fun owner_pre_4_35_28 () Int)
+(declare-fun owner_4_35_28 () Int)
+(declare-fun methodSeq_pre_48_167_38 () Int)
+(declare-fun methodSeq_48_167_38 () Int)
+(declare-fun returnType_pre_33_18_28 () Int)
+(declare-fun returnType_33_18_28 () Int)
+(declare-fun loc_pre_121_30_13 () Int)
+(declare-fun loc_121_30_13 () Int)
+(declare-fun LE_pre_29_28_26 () Int)
+(declare-fun CONTINUESTMT_pre_30_36_7 () Int)
+(declare-fun loc_pre_78_18_13 () Int)
+(declare-fun loc_78_18_13 () Int)
+(declare-fun punctuationCodes_pre_27_164_19 () Int)
+(declare-fun elems_pre_88_18_34 () Int)
+(declare-fun elems_88_18_34 () Int)
+(declare-fun DEC_pre_29_59_26 () Int)
+(declare-fun rootSEnv_pre_54_45 () Int)
+(declare-fun rootSEnv_54_45 () Int)
+(declare-fun count_pre_16_67_33 () Int)
+(declare-fun count_16_67_33 () Int)
+(declare-fun locFirstSemi_pre_74_36_13 () Int)
+(declare-fun locFirstSemi_74_36_13 () Int)
+(declare-fun SUPEROBJECTDESIGNATOR_pre_30_63_7 () Int)
+(declare-fun IDENT_pre_28_25_26 () Int)
+(declare-fun parent_pre_18_59_18 () Int)
+(declare-fun parent_18_59_18 () Int)
+(declare-fun elements_pre_47_61_43 () Int)
+(declare-fun elements_47_61_43 () Int)
+(declare-fun branchDecoration_pre_1898_31 () Int)
+(declare-fun branchDecoration_1898_31 () Int)
+(declare-fun decl_pre_42_54_25 () Int)
+(declare-fun decl_42_54_25 () Int)
+(declare-fun locId_pre_73_20_13 () Int)
+(declare-fun locId_73_20_13 () Int)
+(declare-fun name_pre_46_18_28 () Int)
+(declare-fun name_46_18_28 () Int)
+(declare-fun count_pre_21_67_33 () Int)
+(declare-fun count_21_67_33 () Int)
+(declare-fun GT_pre_29_27_26 () Int)
+(declare-fun decl_pre_54_15_36 () Int)
+(declare-fun decl_54_15_36 () Int)
+(declare-fun elementType_pre_155_22_27 () Int)
+(declare-fun elementType_155_22_27 () Int)
+(declare-fun elements_pre_15_61_36 () Int)
+(declare-fun elements_15_61_36 () Int)
+(declare-fun BREAKSTMT_pre_30_35_7 () Int)
+(declare-fun INC_pre_29_58_26 () Int)
+(declare-fun init_pre_99_35_19 () Int)
+(declare-fun init_99_35_19 () Int)
+(declare-fun byteType_pre_38_135_4 () Int)
+(declare-fun byteType_38_135_4 () Int)
+(declare-fun args_pre_42_51_31 () Int)
+(declare-fun args_42_51_31 () Int)
+(declare-fun TYPEOBJECTDESIGNATOR_pre_30_62_7 () Int)
+(declare-fun sigDecoration_pre_5_104_38 () Int)
+(declare-fun expr_pre_79_15_28 () Int)
+(declare-fun expr_79_15_28 () Int)
+(declare-fun value_pre_124_45_16 () Int)
+(declare-fun value_124_45_16 () Int)
+(declare-fun elementCount_pre_153_79_33 () Int)
+(declare-fun elementCount_153_79_33 () Int)
+(declare-fun locOpenParen_pre_80_23_13 () Int)
+(declare-fun locOpenParen_80_23_13 () Int)
+(declare-fun modifiers_pre_51_24_13 () Int)
+(declare-fun modifiers_51_24_13 () Int)
+(declare-fun elementType_pre_153_43_27 () Int)
+(declare-fun elementType_153_43_27 () Int)
+(declare-fun locId_pre_23_38_13 () Int)
+(declare-fun locId_23_38_13 () Int)
+(declare-fun decl_pre_112_26_38 () Int)
+(declare-fun decl_112_26_38 () Int)
+(declare-fun GE_pre_29_26_26 () Int)
+(declare-fun voidType_pre_38_103_4 () Int)
+(declare-fun voidType_38_103_4 () Int)
+(declare-fun keywordStrings_pre_27_181_30 () Int)
+(declare-fun myTypeDecl_pre_5_63_40 () Int)
+(declare-fun myTypeDecl_5_63_40 () Int)
+(declare-fun THROWSTMT_pre_30_34_7 () Int)
+(declare-fun NULL_pre_44_60_26 () Int)
+(declare-fun parent_pre_51_22_18 () Int)
+(declare-fun parent_51_22_18 () Int)
+(declare-fun count_pre_84_67_33 () Int)
+(declare-fun count_84_67_33 () Int)
+(declare-fun rootIEnv_pre_51_45 () Int)
+(declare-fun rootIEnv_51_45 () Int)
+(declare-fun BITNOT_pre_29_57_26 () Int)
+(declare-fun loc_pre_32_40_13 () Int)
+(declare-fun loc_32_40_13 () Int)
+(declare-fun EXPROBJECTDESIGNATOR_pre_30_61_7 () Int)
+(declare-fun elems_pre () Int)
+(declare-fun elems () Int)
+(declare-fun LS () Int)
+(declare-fun alloc_pre () Int)
+(declare-fun this () Int)
+(declare-fun leftExpr_1535_39 () Int)
+(declare-fun rightExpr_1535_54 () Int)
+(declare-fun RES_1536_24_1536_24 () Int)
+(declare-fun EC_1536_24_1536_24 () Int)
+(declare-fun ecReturn () Int)
+(declare-fun RES_1537_18_1537_18 () Int)
+(declare-fun EC_1537_18_1537_18 () Int)
+(declare-fun RES_1543_11_1543_11 () Int)
+(declare-fun EC_1543_11_1543_11 () Int)
+(declare-fun RES_1550_11_1550_11 () Int)
+(declare-fun EC_1550_11_1550_11 () Int)
+(declare-fun RES_1551_14_1551_14 () Int)
+(declare-fun EC_1551_14_1551_14 () Int)
+(declare-fun RES () Int)
+(declare-fun EC () Int)
+(declare-fun tmp1_cand_1551_5 () Int)
+(declare-fun RES_1557_15_1557_15 () Int)
+(declare-fun EC_1557_15_1557_15 () Int)
+(declare-fun RES_1558_14_1558_14 () Int)
+(declare-fun EC_1558_14_1558_14 () Int)
+(declare-fun RES_1_ () Int)
+(declare-fun tmp2_cand_1557_52 () Int)
+(declare-fun EC_1_ () Int)
+(declare-fun RES_1560_15_1560_15 () Int)
+(declare-fun EC_1560_15_1560_15 () Int)
+(declare-fun RES_1561_14_1561_14 () Int)
+(declare-fun EC_1561_14_1561_14 () Int)
+(declare-fun RES_2_ () Int)
+(declare-fun EC_2_ () Int)
+(declare-fun tmp5_cand_1560_53 () Int)
+(declare-fun RES_1570_16_1570_16 () Int)
+(declare-fun EC_1570_16_1570_16 () Int)
+(declare-fun RES_3_ () Int)
+(declare-fun tmp10_cor_1570_53 () Int)
+(declare-fun EC_3_ () Int)
+(declare-fun RES_1571_10_1571_10 () Int)
+(declare-fun EC_1571_10_1571_10 () Int)
+(declare-fun RES_4_ () Int)
+(declare-fun EC_4_ () Int)
+(declare-fun tmp9_cor_1571_48 () Int)
+(declare-fun RES_1572_10_1572_10 () Int)
+(declare-fun EC_1572_10_1572_10 () Int)
+(declare-fun RES_1574_35_1574_35 () Int)
+(declare-fun EC_1574_35_1574_35 () Int)
+(declare-fun t_1574_2_1574_2_67_16_71 () Int)
+(declare-fun RES_1574_2_1574_2 () Int)
+(declare-fun EC_1574_2_1574_2 () Int)
+(declare-fun RES_5_ () Int)
+(declare-fun tmp8_cand_1573_2 () Int)
+(declare-fun EC_5_ () Int)
+(declare-fun RES_1577_16_1577_16 () Int)
+(declare-fun EC_1577_16_1577_16 () Int)
+(declare-fun RES_6_ () Int)
+(declare-fun EC_6_ () Int)
+(declare-fun tmp17_cor_1577_54 () Int)
+(declare-fun RES_1578_10_1578_10 () Int)
+(declare-fun EC_1578_10_1578_10 () Int)
+(declare-fun RES_7_ () Int)
+(declare-fun tmp16_cor_1578_49 () Int)
+(declare-fun EC_7_ () Int)
+(declare-fun RES_1579_10_1579_10 () Int)
+(declare-fun EC_1579_10_1579_10 () Int)
+(declare-fun RES_1581_35_1581_35 () Int)
+(declare-fun EC_1581_35_1581_35 () Int)
+(declare-fun t_1581_2_1581_2_67_16_71 () Int)
+(declare-fun RES_1599_11_1599_11 () Int)
+(declare-fun EC_1599_11_1599_11 () Int)
+(declare-fun RES_1600_11_1600_11 () Int)
+(declare-fun EC_1600_11_1600_11 () Int)
+(declare-fun RES_8_ () Int)
+(declare-fun EC_8_ () Int)
+(declare-fun tmp23_cand_1599_48 () Int)
+(declare-fun RES_1602_11_1602_11 () Int)
+(declare-fun EC_1602_11_1602_11 () Int)
+(declare-fun RES_1603_11_1603_11 () Int)
+(declare-fun EC_1603_11_1603_11 () Int)
+(declare-fun RES_9_ () Int)
+(declare-fun EC_9_ () Int)
+(declare-fun tmp25_cand_1602_49 () Int)
+(declare-fun RES_1615_11_1615_11 () Int)
+(declare-fun EC_1615_11_1615_11 () Int)
+(declare-fun RES_1616_14_1616_14 () Int)
+(declare-fun EC_1616_14_1616_14 () Int)
+(declare-fun RES_10_ () Int)
+(declare-fun EC_10_ () Int)
+(declare-fun tmp27_cand_1616_5 () Int)
+(declare-fun RES_1617_9_1617_9 () Int)
+(declare-fun EC_1617_9_1617_9 () Int)
+(declare-fun RES_11_ () Int)
+(declare-fun RES_1581_2_1581_2 () Int)
+(declare-fun EC_1581_2_1581_2 () Int)
+(declare-fun RES_12_ () Int)
+(declare-fun EC_11_ () Int)
+(declare-fun tmp15_cand_1580_2 () Int)
+(declare-fun RES_1591_18_1591_18 () Int)
+(declare-fun EC_1591_18_1591_18 () Int)
+(declare-fun RES_1619_9_1619_9 () Int)
+(declare-fun EC_1619_9_1619_9 () Int)
+(declare-fun EC_12_ () Int)
+(assert (let ((?v_0 (array T_int)) (?v_1 (array T_java_lang_String)) (?v_64 (= true_term (is nullType_38_131_4 T_javafe_ast_PrimitiveType))) (?v_38 (= true_term (is charType_38_127_4 T_javafe_ast_PrimitiveType))) (?v_20 (= true_term (is shortType_38_139_4 T_javafe_ast_PrimitiveType))) (?v_15 (= true_term (is byteType_38_135_4 T_javafe_ast_PrimitiveType))) (?v_2 (not (= leftExpr_1535_39 null))) (?v_4 (not (= rightExpr_1535_54 null))) (?v_12 (not (= byteType_38_135_4 null))) (?v_17 (not (= shortType_38_139_4 null))) (?v_34 (not (= charType_38_127_4 null))) (?v_62 (not (= nullType_38_131_4 null)))) (let ((?v_59 (not ?v_2)) (?v_70 (= true_term (is RES_1536_24_1536_24 T_javafe_ast_Type))) (?v_71 (= true_term (isAllocated RES_1536_24_1536_24 alloc))) (?v_3 (= EC_1536_24_1536_24 ecReturn)) (?v_6 (not (= RES_1536_24_1536_24 null)))) (let ((?v_72 (=> ?v_3 ?v_6)) (?v_39 (not ?v_4)) (?v_73 (= true_term (is RES_1537_18_1537_18 T_javafe_ast_Type))) (?v_74 (= true_term (isAllocated RES_1537_18_1537_18 alloc))) (?v_5 (= EC_1537_18_1537_18 ecReturn)) (?v_7 (not (= RES_1537_18_1537_18 null)))) (let ((?v_75 (=> ?v_5 ?v_7)) (?v_76 (= true_term (is RES_1543_11_1543_11 T_boolean))) (?v_8 (= EC_1543_11_1543_11 ecReturn)) (?v_9 (= true_term RES_1543_11_1543_11)) (?v_40 (= true_term (is RES_1536_24_1536_24 T_javafe_ast_PrimitiveType)))) (let ((?v_14 (and ?v_40 ?v_6)) (?v_60 (= true_term (is RES_1537_18_1537_18 T_javafe_ast_PrimitiveType)))) (let ((?v_19 (and ?v_60 ?v_7))) (let ((?v_77 (=> (and ?v_8 ?v_9) (= ?v_14 ?v_19))) (?v_78 (not ?v_9)) (?v_11 (= true_term true_term)) (?v_79 (= true_term (is RES_1550_11_1550_11 T_boolean))) (?v_80 (= EC_1550_11_1550_11 ecReturn)) (?v_10 (= true_term RES_1550_11_1550_11))) (let ((?v_81 (or (and ?v_10 (= true_term (is RES_1551_14_1551_14 T_boolean)) (= EC_1551_14_1551_14 ecReturn) (= RES RES_1551_14_1551_14) (= EC EC_1551_14_1551_14) (= tmp1_cand_1551_5 RES_1551_14_1551_14)) (and (not ?v_10) ?v_11 (= RES RES_1550_11_1550_11) (= EC EC_1550_11_1550_11) (= tmp1_cand_1551_5 false_term)))) (?v_61 (= true_term tmp1_cand_1551_5)) (?v_26 (not (and ?v_6 ?v_12))) (?v_82 (= true_term (is RES_1557_15_1557_15 T_boolean))) (?v_13 (= EC_1557_15_1557_15 ecReturn)) (?v_16 (= true_term RES_1557_15_1557_15)) (?v_22 (and ?v_15 ?v_12))) (let ((?v_28 (= ?v_14 ?v_22))) (let ((?v_83 (=> (and ?v_13 ?v_16) ?v_28)) (?v_51 (not (and ?v_7 ?v_17))) (?v_18 (= EC_1558_14_1558_14 ecReturn)) (?v_25 (and ?v_20 ?v_17))) (let ((?v_54 (= ?v_19 ?v_25))) (let ((?v_84 (or (and ?v_16 (= true_term (is RES_1558_14_1558_14 T_boolean)) ?v_18 (=> (and ?v_18 (= true_term RES_1558_14_1558_14)) ?v_54) (= RES_1_ RES_1558_14_1558_14) (= tmp2_cand_1557_52 RES_1558_14_1558_14) (= EC_1_ EC_1558_14_1558_14)) (and (not ?v_16) ?v_11 (= RES_1_ RES_1557_15_1557_15) (= tmp2_cand_1557_52 false_term) (= EC_1_ EC_1557_15_1557_15)))) (?v_85 (= true_term tmp2_cand_1557_52))) (let ((?v_86 (not ?v_85)) (?v_47 (not (and ?v_7 ?v_12))) (?v_87 (= true_term (is RES_1560_15_1560_15 T_boolean))) (?v_21 (= EC_1560_15_1560_15 ecReturn)) (?v_23 (= true_term RES_1560_15_1560_15)) (?v_49 (= ?v_19 ?v_22))) (let ((?v_88 (=> (and ?v_21 ?v_23) ?v_49)) (?v_30 (not (and ?v_6 ?v_17))) (?v_24 (= EC_1561_14_1561_14 ecReturn)) (?v_33 (= ?v_14 ?v_25))) (let ((?v_89 (or (and ?v_23 (= true_term (is RES_1561_14_1561_14 T_boolean)) ?v_24 (=> (and ?v_24 (= true_term RES_1561_14_1561_14)) ?v_33) (= RES_2_ RES_1561_14_1561_14) (= EC_2_ EC_1561_14_1561_14) (= tmp5_cand_1560_53 RES_1561_14_1561_14)) (and (not ?v_23) ?v_11 (= RES_2_ RES_1560_15_1560_15) (= EC_2_ EC_1560_15_1560_15) (= tmp5_cand_1560_53 false_term)))) (?v_90 (= true_term tmp5_cand_1560_53))) (let ((?v_92 (not ?v_90)) (?v_93 (= true_term (is RES_1570_16_1570_16 T_boolean))) (?v_27 (= EC_1570_16_1570_16 ecReturn)) (?v_29 (= true_term RES_1570_16_1570_16))) (let ((?v_94 (=> (and ?v_27 ?v_29) ?v_28)) (?v_31 (not ?v_29)) (?v_32 (= EC_1571_10_1571_10 ecReturn))) (let ((?v_95 (or (and ?v_29 ?v_11 (= RES_3_ RES_1570_16_1570_16) (= tmp10_cor_1570_53 true_term) (= EC_3_ EC_1570_16_1570_16)) (and ?v_31 (= true_term (is RES_1571_10_1571_10 T_boolean)) ?v_32 (=> (and ?v_32 (= true_term RES_1571_10_1571_10)) ?v_33) (= RES_3_ RES_1571_10_1571_10) (= tmp10_cor_1570_53 RES_1571_10_1571_10) (= EC_3_ EC_1571_10_1571_10)))) (?v_35 (= true_term tmp10_cor_1570_53))) (let ((?v_36 (not ?v_35)) (?v_37 (= EC_1572_10_1572_10 ecReturn)) (?v_58 (and ?v_38 ?v_34))) (let ((?v_96 (or (and ?v_35 ?v_11 (= RES_4_ RES_3_) (= EC_4_ EC_3_) (= tmp9_cor_1571_48 true_term)) (and ?v_36 (= true_term (is RES_1572_10_1572_10 T_boolean)) ?v_37 (=> (and ?v_37 (= true_term RES_1572_10_1572_10)) (= ?v_14 ?v_58)) (= RES_4_ RES_1572_10_1572_10) (= EC_4_ EC_1572_10_1572_10) (= tmp9_cor_1571_48 RES_1572_10_1572_10)))) (?v_41 (= true_term tmp9_cor_1571_48)) (?v_42 (= true_term (is RES_1574_35_1574_35 T_java_lang_Object))) (?v_43 (= true_term (isAllocated RES_1574_35_1574_35 alloc))) (?v_44 (= EC_1574_35_1574_35 ecReturn)) (?v_45 (= t_1574_2_1574_2_67_16_71 (cast RES_1536_24_1536_24 T_javafe_ast_PrimitiveType))) (?v_46 (not (= t_1574_2_1574_2_67_16_71 null)))) (let ((?v_97 (or (and ?v_41 ?v_4 ?v_42 ?v_43 ?v_44 ?v_40 ?v_45 ?v_46 (= true_term (is RES_1574_2_1574_2 T_boolean)) (= EC_1574_2_1574_2 ecReturn) (= RES_5_ RES_1574_2_1574_2) (= tmp8_cand_1573_2 RES_1574_2_1574_2) (= EC_5_ EC_1574_2_1574_2)) (and (not ?v_41) ?v_11 (= RES_5_ RES_4_) (= tmp8_cand_1573_2 false_term) (= EC_5_ EC_4_)))) (?v_98 (= true_term tmp8_cand_1573_2))) (let ((?v_99 (not ?v_98)) (?v_100 (= true_term (is RES_1577_16_1577_16 T_boolean))) (?v_48 (= EC_1577_16_1577_16 ecReturn)) (?v_50 (= true_term RES_1577_16_1577_16))) (let ((?v_101 (=> (and ?v_48 ?v_50) ?v_49)) (?v_52 (not ?v_50)) (?v_53 (= EC_1578_10_1578_10 ecReturn))) (let ((?v_102 (or (and ?v_50 ?v_11 (= RES_6_ RES_1577_16_1577_16) (= EC_6_ EC_1577_16_1577_16) (= tmp17_cor_1577_54 true_term)) (and ?v_52 (= true_term (is RES_1578_10_1578_10 T_boolean)) ?v_53 (=> (and ?v_53 (= true_term RES_1578_10_1578_10)) ?v_54) (= RES_6_ RES_1578_10_1578_10) (= EC_6_ EC_1578_10_1578_10) (= tmp17_cor_1577_54 RES_1578_10_1578_10)))) (?v_55 (= true_term tmp17_cor_1577_54))) (let ((?v_56 (not ?v_55)) (?v_57 (= EC_1579_10_1579_10 ecReturn))) (let ((?v_103 (or (and ?v_55 ?v_11 (= RES_7_ RES_6_) (= tmp16_cor_1578_49 true_term) (= EC_7_ EC_6_)) (and ?v_56 (= true_term (is RES_1579_10_1579_10 T_boolean)) ?v_57 (=> (and ?v_57 (= true_term RES_1579_10_1579_10)) (= ?v_19 ?v_58)) (= RES_7_ RES_1579_10_1579_10) (= tmp16_cor_1578_49 RES_1579_10_1579_10) (= EC_7_ EC_1579_10_1579_10)))) (?v_104 (= true_term tmp16_cor_1578_49)) (?v_105 (= true_term (is RES_1581_35_1581_35 T_java_lang_Object))) (?v_106 (= true_term (isAllocated RES_1581_35_1581_35 alloc))) (?v_107 (= EC_1581_35_1581_35 ecReturn)) (?v_108 (= t_1581_2_1581_2_67_16_71 (cast RES_1537_18_1537_18 T_javafe_ast_PrimitiveType))) (?v_109 (not (= t_1581_2_1581_2_67_16_71 null))) (?v_112 (not ?v_61)) (?v_113 (= true_term (is RES_1599_11_1599_11 T_boolean))) (?v_63 (= EC_1599_11_1599_11 ecReturn)) (?v_65 (= true_term RES_1599_11_1599_11)) (?v_67 (and ?v_64 ?v_62))) (let ((?v_114 (=> (and ?v_63 ?v_65) (= ?v_14 ?v_67))) (?v_115 (or (and ?v_65 (= true_term (is RES_1600_11_1600_11 T_boolean)) (= EC_1600_11_1600_11 ecReturn) (= RES_8_ RES_1600_11_1600_11) (= EC_8_ EC_1600_11_1600_11) (= tmp23_cand_1599_48 RES_1600_11_1600_11)) (and (not ?v_65) ?v_11 (= RES_8_ RES_1599_11_1599_11) (= EC_8_ EC_1599_11_1599_11) (= tmp23_cand_1599_48 false_term)))) (?v_116 (= true_term tmp23_cand_1599_48))) (let ((?v_117 (not ?v_116)) (?v_118 (= true_term (is RES_1602_11_1602_11 T_boolean))) (?v_66 (= EC_1602_11_1602_11 ecReturn)) (?v_68 (= true_term RES_1602_11_1602_11))) (let ((?v_119 (=> (and ?v_66 ?v_68) (= ?v_19 ?v_67))) (?v_120 (or (and ?v_68 (= true_term (is RES_1603_11_1603_11 T_boolean)) (= EC_1603_11_1603_11 ecReturn) (= RES_9_ RES_1603_11_1603_11) (= EC_9_ EC_1603_11_1603_11) (= tmp25_cand_1602_49 RES_1603_11_1603_11)) (and (not ?v_68) ?v_11 (= RES_9_ RES_1602_11_1602_11) (= EC_9_ EC_1602_11_1602_11) (= tmp25_cand_1602_49 false_term)))) (?v_121 (= true_term tmp25_cand_1602_49))) (let ((?v_122 (not ?v_121)) (?v_123 (= true_term (is RES_1615_11_1615_11 T_boolean))) (?v_124 (= EC_1615_11_1615_11 ecReturn)) (?v_69 (= true_term RES_1615_11_1615_11))) (let ((?v_125 (or (and ?v_69 (= true_term (is RES_1616_14_1616_14 T_boolean)) (= EC_1616_14_1616_14 ecReturn) (= RES_10_ RES_1616_14_1616_14) (= EC_10_ EC_1616_14_1616_14) (= tmp27_cand_1616_5 RES_1616_14_1616_14)) (and (not ?v_69) ?v_11 (= RES_10_ RES_1615_11_1615_11) (= EC_10_ EC_1615_11_1615_11) (= tmp27_cand_1616_5 false_term)))) (?v_126 (= true_term tmp27_cand_1616_5)) (?v_127 (= true_term (is RES_1617_9_1617_9 T_boolean))) (?v_128 (= EC_1617_9_1617_9 ecReturn)) (?v_129 (= true_term RES_1617_9_1617_9))) (let ((?v_130 (not ?v_129)) (?v_91 (= RES_11_ shortType_38_139_4)) (?v_110 (= true_term tmp15_cand_1580_2)) (?v_111 (= EC_1591_18_1591_18 ecReturn)) (?v_131 (= true_term (is RES_1619_9_1619_9 T_boolean))) (?v_132 (= EC_1619_9_1619_9 ecReturn)) (?v_133 (= true_term RES_1619_9_1619_9))) (not (=> true (=> (and (= EQ_pre_29_25_26 EQ_29_25_26) (= true_term (is EQ_29_25_26 T_int)) (= tmodifiers_pre_7_30_33 tmodifiers_7_30_33) (= tmodifiers_7_30_33 (asField tmodifiers_7_30_33 T_javafe_ast_TypeModifierPragmaVec)) (< (fClosedTime tmodifiers_7_30_33) alloc) (= ACC_FINAL_pre_31_23_26 ACC_FINAL_31_23_26) (= true_term (is ACC_FINAL_31_23_26 T_int)) (= RETURNSTMT_pre_30_33_7 RETURNSTMT_30_33_7) (= true_term (is RETURNSTMT_30_33_7 T_int)) (= expr_pre_78_15_28 expr_78_15_28) (= expr_78_15_28 (asField expr_78_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_78_15_28) alloc) (forall ((?s Int)) (=> (not (= ?s null)) (not (= (S_select expr_78_15_28 ?s) null)))) (= expr_pre_81_15_28 expr_81_15_28) (= expr_81_15_28 (asField expr_81_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_81_15_28) alloc) (forall ((?s_1_ Int)) (=> (not (= ?s_1_ null)) (not (= (S_select expr_81_15_28 ?s_1_) null)))) (= NOT_pre_29_56_26 NOT_29_56_26) (= true_term (is NOT_29_56_26 T_int)) (= loc_pre_164_16_13 loc_164_16_13) (= loc_164_16_13 (asField loc_164_16_13 T_int)) (= ids_pre_167_19_37 ids_167_19_37) (= ids_167_19_37 (asField ids_167_19_37 T_javafe_ast_IdentifierVec)) (< (fClosedTime ids_167_19_37) alloc) (forall ((?s_2_ Int)) (=> (not (= ?s_2_ null)) (not (= (S_select ids_167_19_37 ?s_2_) null)))) (= locOpenBracket_pre_89_21_13 locOpenBracket_89_21_13) (= locOpenBracket_89_21_13 (asField locOpenBracket_89_21_13 T_int)) (= locOpenParen_pre_42_48_13 locOpenParen_42_48_13) (= locOpenParen_42_48_13 (asField locOpenParen_42_48_13 T_int)) (= name_pre_107_20_28 name_107_20_28) (= name_107_20_28 (asField name_107_20_28 T_javafe_ast_Name)) (< (fClosedTime name_107_20_28) alloc) (forall ((?s_3_ Int)) (=> (not (= ?s_3_ null)) (not (= (S_select name_107_20_28 ?s_3_) null)))) (= CLASSLITERAL_pre_30_60_7 CLASSLITERAL_30_60_7) (= true_term (is CLASSLITERAL_30_60_7 T_int)) (= ACC_STATIC_pre_31_22_26 ACC_STATIC_31_22_26) (= true_term (is ACC_STATIC_31_22_26 T_int)) (= elements_pre_45_61_37 elements_45_61_37) (= elements_45_61_37 (asField elements_45_61_37 (array T_javafe_ast_TypeName))) (< (fClosedTime elements_45_61_37) alloc) (forall ((?s_4_ Int)) (=> (not (= ?s_4_ null)) (not (= (S_select elements_45_61_37 ?s_4_) null)))) (= state_pre_5_787_15 state_5_787_15) (= state_5_787_15 (asField state_5_787_15 T_int)) (= id_pre_33_15_34 id_33_15_34) (= id_33_15_34 (asField id_33_15_34 T_javafe_ast_Identifier)) (< (fClosedTime id_33_15_34) alloc) (forall ((?s_5_ Int)) (=> (not (= ?s_5_ null)) (not (= (S_select id_33_15_34 ?s_5_) null)))) (= stmt_pre_73_17_28 stmt_73_17_28) (= stmt_73_17_28 (asField stmt_73_17_28 T_javafe_ast_Stmt)) (< (fClosedTime stmt_73_17_28) alloc) (forall ((?s_6_ Int)) (=> (not (= ?s_6_ null)) (not (= (S_select stmt_73_17_28 ?s_6_) null)))) (= locCloseBrace_pre_18_54_13 locCloseBrace_18_54_13) (= locCloseBrace_18_54_13 (asField locCloseBrace_18_54_13 T_int)) (= NE_pre_29_24_26 NE_29_24_26) (= true_term (is NE_29_24_26 T_int)) (= loc_pre_74_33_13 loc_74_33_13) (= loc_74_33_13 (asField loc_74_33_13 T_int)) (= EVALSTMT_pre_30_32_7 EVALSTMT_30_32_7) (= true_term (is EVALSTMT_30_32_7 T_int)) (= syntax_pre_7_28_29 syntax_7_28_29) (= syntax_7_28_29 (asField syntax_7_28_29 T_boolean)) (= sig_pre_48_39 sig_48_39) (= sig_48_39 (asField sig_48_39 T_javafe_tc_TypeSig)) (< (fClosedTime sig_48_39) alloc) (= UNARYSUB_pre_29_55_26 UNARYSUB_29_55_26) (= true_term (is UNARYSUB_29_55_26 T_int)) (= METHODINVOCATION_pre_30_59_7 METHODINVOCATION_30_59_7) (= true_term (is METHODINVOCATION_30_59_7 T_int)) (= loc_pre_165_20_13 loc_165_20_13) (= loc_165_20_13 (asField loc_165_20_13 T_int)) (= type_pre_23_35_28 type_23_35_28) (= type_23_35_28 (asField type_23_35_28 T_javafe_ast_Type)) (< (fClosedTime type_23_35_28) alloc) (forall ((?s_7_ Int)) (=> (not (= ?s_7_ null)) (not (= (S_select type_23_35_28 ?s_7_) null)))) (= nullType_pre_38_131_4 nullType_38_131_4) ?v_64 (= true_term (isAllocated nullType_38_131_4 alloc)) (= BITAND_pre_29_23_26 BITAND_29_23_26) (= true_term (is BITAND_29_23_26 T_int)) (= SYNCHRONIZESTMT_pre_30_31_7 SYNCHRONIZESTMT_30_31_7) (= true_term (is SYNCHRONIZESTMT_30_31_7 T_int)) (= parent_pre_52_18_18 parent_52_18_18) (= parent_52_18_18 (asField parent_52_18_18 T_javafe_ast_TypeDecl)) (< (fClosedTime parent_52_18_18) alloc) (= UNARYADD_pre_29_54_26 UNARYADD_29_54_26) (= true_term (is UNARYADD_29_54_26 T_int)) (= AMBIGUOUSMETHODINVOCATION_pre_30_58_7 AMBIGUOUSMETHODINVOCATION_30_58_7) (= true_term (is AMBIGUOUSMETHODINVOCATION_30_58_7 T_int)) (= label_pre_73_15_34 label_73_15_34) (= label_73_15_34 (asField label_73_15_34 T_javafe_ast_Identifier)) (< (fClosedTime label_73_15_34) alloc) (forall ((?s_8_ Int)) (=> (not (= ?s_8_ null)) (not (= (S_select label_73_15_34 ?s_8_) null)))) (= otherCodes_pre_27_202_27 otherCodes_27_202_27) (= true_term (is otherCodes_27_202_27 ?v_0)) (= true_term (isAllocated otherCodes_27_202_27 alloc)) (= BITXOR_pre_29_22_26 BITXOR_29_22_26) (= true_term (is BITXOR_29_22_26 T_int)) (= loc_pre_80_20_13 loc_80_20_13) (= loc_80_20_13 (asField loc_80_20_13 T_int)) (= DOSTMT_pre_30_30_7 DOSTMT_30_30_7) (= true_term (is DOSTMT_30_30_7 T_int)) (= loc_pre_112_22_13 loc_112_22_13) (= loc_112_22_13 (asField loc_112_22_13 T_int)) (= type_pre_99_24_28 type_99_24_28) (= type_99_24_28 (asField type_99_24_28 T_javafe_ast_Type)) (< (fClosedTime type_99_24_28) alloc) (forall ((?s_9_ Int)) (=> (not (= ?s_9_ null)) (not (= (S_select type_99_24_28 ?s_9_) null)))) (= ASGBITXOR_pre_29_51_26 ASGBITXOR_29_51_26) (= true_term (is ASGBITXOR_29_51_26 T_int)) (= FIELDACCESS_pre_30_57_7 FIELDACCESS_30_57_7) (= true_term (is FIELDACCESS_30_57_7 T_int)) (= locKeyword_pre_42_45_13 locKeyword_42_45_13) (= locKeyword_42_45_13 (asField locKeyword_42_45_13 T_int)) (= punctuationStrings_pre_27_134_22 punctuationStrings_27_134_22) (= true_term (is punctuationStrings_27_134_22 ?v_1)) (= true_term (isAllocated punctuationStrings_27_134_22 alloc)) (= length_pre_98_50_25 length_98_50_25) (= length_98_50_25 (asField length_98_50_25 T_int)) (= loc_pre_39_35_13 loc_39_35_13) (= loc_39_35_13 (asField loc_39_35_13 T_int)) (= CHECKED_pre_5_776_28 CHECKED_5_776_28) (= true_term (is CHECKED_5_776_28 T_int)) (= locCloseBracket_pre_94_23_13 locCloseBracket_94_23_13) (= locCloseBracket_94_23_13 (asField locCloseBracket_94_23_13 T_int)) (= BITOR_pre_29_21_26 BITOR_29_21_26) (= true_term (is BITOR_29_21_26 T_int)) (= loc_pre_85_22_13 loc_85_22_13) (= loc_85_22_13 (asField loc_85_22_13 T_int)) (= count_pre_166_67_33 count_166_67_33) (= count_166_67_33 (asField count_166_67_33 T_int)) (= body_pre_74_30_28 body_74_30_28) (= body_74_30_28 (asField body_74_30_28 T_javafe_ast_Stmt)) (< (fClosedTime body_74_30_28) alloc) (forall ((?s_10_ Int)) (=> (not (= ?s_10_ null)) (not (= (S_select body_74_30_28 ?s_10_) null)))) (= WHILESTMT_pre_30_29_7 WHILESTMT_30_29_7) (= true_term (is WHILESTMT_30_29_7 T_int)) (= count_pre_90_67_33 count_90_67_33) (= count_90_67_33 (asField count_90_67_33 T_int)) (= permitsNullValue_pre_64_31_27 permitsNullValue_64_31_27) (= permitsNullValue_64_31_27 (asField permitsNullValue_64_31_27 T_boolean)) (= locOpenBrace_pre_18_51_13 locOpenBrace_18_51_13) (= locOpenBrace_18_51_13 (asField locOpenBrace_18_51_13 T_int)) (= ASGBITOR_pre_29_50_26 ASGBITOR_29_50_26) (= true_term (is ASGBITOR_29_50_26 T_int)) (= fieldSeq_pre_48_162_38 fieldSeq_48_162_38) (= fieldSeq_48_162_38 (asField fieldSeq_48_162_38 T_javafe_util_StackVector)) (< (fClosedTime fieldSeq_48_162_38) alloc) (forall ((?s_11_ Int)) (=> (not (= ?s_11_ null)) (not (= (S_select fieldSeq_48_162_38 ?s_11_) null)))) (= PREPPED_pre_5_775_28 PREPPED_5_775_28) (= true_term (is PREPPED_5_775_28 T_int)) (= VARIABLEACCESS_pre_30_56_7 VARIABLEACCESS_30_56_7) (= true_term (is VARIABLEACCESS_30_56_7 T_int)) (= elemType_pre_89_18_28 elemType_89_18_28) (= elemType_89_18_28 (asField elemType_89_18_28 T_javafe_ast_Type)) (< (fClosedTime elemType_89_18_28) alloc) (forall ((?s_12_ Int)) (=> (not (= ?s_12_ null)) (not (= (S_select elemType_89_18_28 ?s_12_) null)))) (= locDots_pre_167_31_29 locDots_167_31_29) (= locDots_167_31_29 (asField locDots_167_31_29 ?v_0)) (< (fClosedTime locDots_167_31_29) alloc) (forall ((?s_13_ Int)) (=> (not (= ?s_13_ null)) (not (= (S_select locDots_167_31_29 ?s_13_) null)))) (= count_pre_122_67_33 count_122_67_33) (= count_122_67_33 (asField count_122_67_33 T_int)) (= CLASSDECLSTMT_pre_30_28_7 CLASSDECLSTMT_30_28_7) (= true_term (is CLASSDECLSTMT_30_28_7 T_int)) (= locOpenBrackets_pre_99_65_29 locOpenBrackets_99_65_29) (= locOpenBrackets_99_65_29 (asField locOpenBrackets_99_65_29 ?v_0)) (< (fClosedTime locOpenBrackets_99_65_29) alloc) (forall ((?s_14_ Int)) (=> (not (= ?s_14_ null)) (not (= (S_select locOpenBrackets_99_65_29 ?s_14_) null)))) (= decl_pre_95_55_25 decl_95_55_25) (= decl_95_55_25 (asField decl_95_55_25 T_javafe_ast_ConstructorDecl)) (< (fClosedTime decl_95_55_25) alloc) (= charType_pre_38_127_4 charType_38_127_4) ?v_38 (= true_term (isAllocated charType_38_127_4 alloc)) (= ASGBITAND_pre_29_49_26 ASGBITAND_29_49_26) (= true_term (is ASGBITAND_29_49_26 T_int)) (= elements_pre_24_61_43 elements_24_61_43) (= elements_24_61_43 (asField elements_24_61_43 (array T_javafe_ast_ModifierPragma))) (< (fClosedTime elements_24_61_43) alloc) (forall ((?s_15_ Int)) (=> (not (= ?s_15_ null)) (not (= (S_select elements_24_61_43 ?s_15_) null)))) (= locOpenBrace_pre_32_36_13 locOpenBrace_32_36_13) (= locOpenBrace_32_36_13 (asField locOpenBrace_32_36_13 T_int)) (= AMBIGUOUSVARIABLEACCESS_pre_30_55_7 AMBIGUOUSVARIABLEACCESS_30_55_7) (= true_term (is AMBIGUOUSVARIABLEACCESS_30_55_7 T_int)) (= decl_pre_59_35_37 decl_59_35_37) (= decl_59_35_37 (asField decl_59_35_37 T_javafe_ast_TypeDecl)) (< (fClosedTime decl_59_35_37) alloc) (forall ((?s_16_ Int)) (=> (not (= ?s_16_ null)) (not (= (S_select decl_59_35_37 ?s_16_) null)))) (= id_pre_23_32_34 id_23_32_34) (= id_23_32_34 (asField id_23_32_34 T_javafe_ast_Identifier)) (< (fClosedTime id_23_32_34) alloc) (forall ((?s_17_ Int)) (=> (not (= ?s_17_ null)) (not (= (S_select id_23_32_34 ?s_17_) null)))) (= locDot_pre_108_21_13 locDot_108_21_13) (= locDot_108_21_13 (asField locDot_108_21_13 T_int)) (= locCloseParen_pre_102_24_13 locCloseParen_102_24_13) (= locCloseParen_102_24_13 (asField locCloseParen_102_24_13 T_int)) (= locOpenParen_pre_95_52_13 locOpenParen_95_52_13) (= locOpenParen_95_52_13 (asField locOpenParen_95_52_13 T_int)) (= body_pre_32_34_19 body_32_34_19) (= body_32_34_19 (asField body_32_34_19 T_javafe_ast_BlockStmt)) (< (fClosedTime body_32_34_19) alloc) (= stmt_pre_80_17_33 stmt_80_17_33) (= stmt_80_17_33 (asField stmt_80_17_33 T_javafe_ast_BlockStmt)) (< (fClosedTime stmt_80_17_33) alloc) (forall ((?s_18_ Int)) (=> (not (= ?s_18_ null)) (not (= (S_select stmt_80_17_33 ?s_18_) null)))) (= forUpdate_pre_74_28_31 forUpdate_74_28_31) (= forUpdate_74_28_31 (asField forUpdate_74_28_31 T_javafe_ast_ExprVec)) (< (fClosedTime forUpdate_74_28_31) alloc) (forall ((?s_19_ Int)) (=> (not (= ?s_19_ null)) (not (= (S_select forUpdate_74_28_31 ?s_19_) null)))) (= loc_pre_76_20_13 loc_76_20_13) (= loc_76_20_13 (asField loc_76_20_13 T_int)) (= AND_pre_29_20_26 AND_29_20_26) (= true_term (is AND_29_20_26 T_int)) (= VARDECLSTMT_pre_30_27_7 VARDECLSTMT_30_27_7) (= true_term (is VARDECLSTMT_30_27_7 T_int)) (= id_pre_112_19_34 id_112_19_34) (= id_112_19_34 (asField id_112_19_34 T_javafe_ast_Identifier)) (< (fClosedTime id_112_19_34) alloc) (forall ((?s_20_ Int)) (=> (not (= ?s_20_ null)) (not (= (S_select id_112_19_34 ?s_20_) null)))) (= ASGURSHIFT_pre_29_48_26 ASGURSHIFT_29_48_26) (= true_term (is ASGURSHIFT_29_48_26 T_int)) (= elements_pre_123_61_39 elements_123_61_39) (= elements_123_61_39 (asField elements_123_61_39 (array T_javafe_ast_MethodDecl))) (< (fClosedTime elements_123_61_39) alloc) (forall ((?s_21_ Int)) (=> (not (= ?s_21_ null)) (not (= (S_select elements_123_61_39 ?s_21_) null)))) (= PARENEXPR_pre_30_54_7 PARENEXPR_30_54_7) (= true_term (is PARENEXPR_30_54_7 T_int)) (= locDot_pre_42_41_13 locDot_42_41_13) (= locDot_42_41_13 (asField locDot_42_41_13 T_int)) (= raises_pre_32_32_35 raises_32_32_35) (= raises_32_32_35 (asField raises_32_32_35 T_javafe_ast_TypeNameVec)) (< (fClosedTime raises_32_32_35) alloc) (forall ((?s_22_ Int)) (=> (not (= ?s_22_ null)) (not (= (S_select raises_32_32_35 ?s_22_) null)))) (= typeDecoration_pre_1853_31 typeDecoration_1853_31) (= true_term (is typeDecoration_1853_31 T_javafe_ast_ASTDecoration)) (= true_term (isAllocated typeDecoration_1853_31 alloc)) (= elementType_pre_64_26_25 elementType_64_26_25) (= elementType_64_26_25 (asField elementType_64_26_25 T__TYPE)) (= tag_pre_39_30_13 tag_39_30_13) (= tag_39_30_13 (asField tag_39_30_13 T_int)) (= NULLLIT_pre_28_45_26 NULLLIT_28_45_26) (= true_term (is NULLLIT_28_45_26 T_int)) (= body_pre_85_19_33 body_85_19_33) (= body_85_19_33 (asField body_85_19_33 T_javafe_ast_BlockStmt)) (< (fClosedTime body_85_19_33) alloc) (forall ((?s_23_ Int)) (=> (not (= ?s_23_ null)) (not (= (S_select body_85_19_33 ?s_23_) null)))) (= PARSED_pre_5_772_28 PARSED_5_772_28) (= true_term (is PARSED_5_772_28 T_int)) (= OR_pre_29_19_26 OR_29_19_26) (= true_term (is OR_29_19_26 T_int)) (= SWITCHSTMT_pre_30_26_7 SWITCHSTMT_30_26_7) (= true_term (is SWITCHSTMT_30_26_7 T_int)) (= locOpenBracket_pre_94_20_13 locOpenBracket_94_20_13) (= locOpenBracket_94_20_13 (asField locOpenBracket_94_20_13 T_int)) (= ASGRSHIFT_pre_29_47_26 ASGRSHIFT_29_47_26) (= true_term (is ASGRSHIFT_29_47_26 T_int)) (= decl_pre_91_28_19 decl_91_28_19) (= decl_91_28_19 (asField decl_91_28_19 T_javafe_ast_FieldDecl)) (< (fClosedTime decl_91_28_19) alloc) (= locId_pre_18_48_13 locId_18_48_13) (= locId_18_48_13 (asField locId_18_48_13 T_int)) (= CASTEXPR_pre_30_53_7 CASTEXPR_30_53_7) (= true_term (is CASTEXPR_30_53_7 T_int)) (= LAST_KEYWORD_pre_27_103_26 LAST_KEYWORD_27_103_26) (= true_term (is LAST_KEYWORD_27_103_26 T_int)) (= elements_pre_43_61_33 elements_43_61_33) (= elements_43_61_33 (asField elements_43_61_33 (array T_javafe_ast_Expr))) (< (fClosedTime elements_43_61_33) alloc) (forall ((?s_24_ Int)) (=> (not (= ?s_24_ null)) (not (= (S_select elements_43_61_33 ?s_24_) null)))) (= pmodifiers_pre_23_30_27 pmodifiers_23_30_27) (= pmodifiers_23_30_27 (asField pmodifiers_23_30_27 T_javafe_ast_ModifierPragmaVec)) (< (fClosedTime pmodifiers_23_30_27) alloc) (= expr_pre_80_15_28 expr_80_15_28) (= expr_80_15_28 (asField expr_80_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_80_15_28) alloc) (forall ((?s_25_ Int)) (=> (not (= ?s_25_ null)) (not (= (S_select expr_80_15_28 ?s_25_) null)))) (= test_pre_74_26_28 test_74_26_28) (= test_74_26_28 (asField test_74_26_28 T_javafe_ast_Expr)) (< (fClosedTime test_74_26_28) alloc) (forall ((?s_26_ Int)) (=> (not (= ?s_26_ null)) (not (= (S_select test_74_26_28 ?s_26_) null)))) (= STRINGLIT_pre_28_44_26 STRINGLIT_28_44_26) (= true_term (is STRINGLIT_28_44_26 T_int)) (= locColon_pre_100_25_13 locColon_100_25_13) (= locColon_100_25_13 (asField locColon_100_25_13 T_int)) (= BLOCKSTMT_pre_30_25_7 BLOCKSTMT_30_25_7) (= true_term (is BLOCKSTMT_30_25_7 T_int)) (= inst_pre_48_25_52 inst_48_25_52) (= true_term (is inst_48_25_52 T_javafe_tc_PrepTypeDeclaration)) (= true_term (isAllocated inst_48_25_52 alloc)) (not (= inst_48_25_52 null)) (= NULL_pre_27_82_26 NULL_27_82_26) (= true_term (is NULL_27_82_26 T_int)) (= ASGLSHIFT_pre_29_46_26 ASGLSHIFT_29_46_26) (= true_term (is ASGLSHIFT_29_46_26 T_int)) (= modifiers_pre_23_28_13 modifiers_23_28_13) (= modifiers_23_28_13 (asField modifiers_23_28_13 T_int)) (= INSTANCEOFEXPR_pre_30_52_7 INSTANCEOFEXPR_30_52_7) (= true_term (is INSTANCEOFEXPR_30_52_7 T_int)) (= args_pre_32_30_41 args_32_30_41) (= args_32_30_41 (asField args_32_30_41 T_javafe_ast_FormalParaDeclVec)) (< (fClosedTime args_32_30_41) alloc) (forall ((?s_27_ Int)) (=> (not (= ?s_27_ null)) (not (= (S_select args_32_30_41 ?s_27_) null)))) (= tokenType_pre_58_90_8 tokenType_58_90_8) (= tokenType_58_90_8 (asField tokenType_58_90_8 T_int)) (= longType_pre_38_123_4 longType_38_123_4) (= true_term (is longType_38_123_4 T_javafe_ast_PrimitiveType)) (= true_term (isAllocated longType_38_123_4 alloc)) (= elements_pre_16_61_33 elements_16_61_33) (= elements_16_61_33 (asField elements_16_61_33 (array T_javafe_ast_Stmt))) (< (fClosedTime elements_16_61_33) alloc) (forall ((?s_28_ Int)) (=> (not (= ?s_28_ null)) (not (= (S_select elements_16_61_33 ?s_28_) null)))) (= superClass_pre_57_15_18 superClass_57_15_18) (= superClass_57_15_18 (asField superClass_57_15_18 T_javafe_ast_TypeName)) (< (fClosedTime superClass_57_15_18) alloc) (= arg_pre_85_17_38 arg_85_17_38) (= arg_85_17_38 (asField arg_85_17_38 T_javafe_ast_FormalParaDecl)) (< (fClosedTime arg_85_17_38) alloc) (forall ((?s_29_ Int)) (=> (not (= ?s_29_ null)) (not (= (S_select arg_85_17_38 ?s_29_) null)))) (= DOUBLELIT_pre_28_43_26 DOUBLELIT_28_43_26) (= true_term (is DOUBLELIT_28_43_26 T_int)) (= stmt_pre_76_17_28 stmt_76_17_28) (= stmt_76_17_28 (asField stmt_76_17_28 T_javafe_ast_Stmt)) (< (fClosedTime stmt_76_17_28) alloc) (forall ((?s_30_ Int)) (=> (not (= ?s_30_ null)) (not (= (S_select stmt_76_17_28 ?s_30_) null)))) (= loc_pre_95_49_13 loc_95_49_13) (= loc_95_49_13 (asField loc_95_49_13 T_int)) (= FORMALPARADECL_pre_30_24_7 FORMALPARADECL_30_24_7) (= true_term (is FORMALPARADECL_30_24_7 T_int)) (= map_pre_5_301_35 map_5_301_35) (= true_term (is map_5_301_35 T_java_util_Hashtable)) (= true_term (isAllocated map_5_301_35 alloc)) (= locOpenParen_pre_102_21_13 locOpenParen_102_21_13) (= locOpenParen_102_21_13 (asField locOpenParen_102_21_13 T_int)) (= locDot_pre_103_23_13 locDot_103_23_13) (= locDot_103_23_13 (asField locDot_103_23_13 T_int)) (= ASGSUB_pre_29_45_26 ASGSUB_29_45_26) (= true_term (is ASGSUB_29_45_26 T_int)) (= permitsNullKey_pre_64_21_27 permitsNullKey_64_21_27) (= permitsNullKey_64_21_27 (asField permitsNullKey_64_21_27 T_boolean)) (= elements_pre_21_61_41 elements_21_61_41) (= elements_21_61_41 (asField elements_21_61_41 (array T_javafe_ast_TypeDeclElem))) (< (fClosedTime elements_21_61_41) alloc) (forall ((?s_31_ Int)) (=> (not (= ?s_31_ null)) (not (= (S_select elements_21_61_41 ?s_31_) null)))) (= CONDEXPR_pre_30_51_7 CONDEXPR_30_51_7) (= true_term (is CONDEXPR_30_51_7 T_int)) (= decl_pre_111_34_20 decl_111_34_20) (= decl_111_34_20 (asField decl_111_34_20 T_javafe_ast_MethodDecl)) (< (fClosedTime decl_111_34_20) alloc) (= otherStrings_pre_27_193_30 otherStrings_27_193_30) (= true_term (is otherStrings_27_193_30 ?v_1)) (= true_term (isAllocated otherStrings_27_193_30 alloc)) (= elements_pre_153_72_21 elements_153_72_21) (= elements_153_72_21 (asField elements_153_72_21 (array T_java_lang_Object))) (< (fClosedTime elements_153_72_21) alloc) (= loc_pre_66_29_13 loc_66_29_13) (= loc_66_29_13 (asField loc_66_29_13 T_int)) (= forInit_pre_74_24_31 forInit_74_24_31) (= forInit_74_24_31 (asField forInit_74_24_31 T_javafe_ast_StmtVec)) (< (fClosedTime forInit_74_24_31) alloc) (forall ((?s_32_ Int)) (=> (not (= ?s_32_ null)) (not (= (S_select forInit_74_24_31 ?s_32_) null)))) (= FLOATLIT_pre_28_42_26 FLOATLIT_28_42_26) (= true_term (is FLOATLIT_28_42_26 T_int)) (= locGuardOpenParen_pre_75_23_13 locGuardOpenParen_75_23_13) (= locGuardOpenParen_75_23_13 (asField locGuardOpenParen_75_23_13 T_int)) (= loc_pre_101_21_13 loc_101_21_13) (= loc_101_21_13 (asField loc_101_21_13 T_int)) (= FIELDDECL_pre_30_23_7 FIELDDECL_30_23_7) (= true_term (is FIELDDECL_30_23_7 T_int)) (= count_pre_118_67_33 count_118_67_33) (= count_118_67_33 (asField count_118_67_33 T_int)) (= decorationType_pre_115_48_27 decorationType_115_48_27) (= decorationType_115_48_27 (asField decorationType_115_48_27 T__TYPE)) (= ASGADD_pre_29_44_26 ASGADD_29_44_26) (= true_term (is ASGADD_29_44_26 T_int)) (= index_pre_94_17_28 index_94_17_28) (= index_94_17_28 (asField index_94_17_28 T_javafe_ast_Expr)) (< (fClosedTime index_94_17_28) alloc) (forall ((?s_33_ Int)) (=> (not (= ?s_33_ null)) (not (= (S_select index_94_17_28 ?s_33_) null)))) (= loc_pre_18_45_13 loc_18_45_13) (= loc_18_45_13 (asField loc_18_45_13 T_int)) (= enclosingEnv_pre_5_52_36 enclosingEnv_5_52_36) (= enclosingEnv_5_52_36 (asField enclosingEnv_5_52_36 T_javafe_tc_Env)) (< (fClosedTime enclosingEnv_5_52_36) alloc) (= NEWARRAYEXPR_pre_30_50_7 NEWARRAYEXPR_30_50_7) (= true_term (is NEWARRAYEXPR_30_50_7 T_int)) (= enclosingInstance_pre_42_37_14 enclosingInstance_42_37_14) (= enclosingInstance_42_37_14 (asField enclosingInstance_42_37_14 T_javafe_ast_Expr)) (< (fClosedTime enclosingInstance_42_37_14) alloc) (= elements_pre_84_61_40 elements_84_61_40) (= elements_84_61_40 (asField elements_84_61_40 (array T_javafe_ast_CatchClause))) (< (fClosedTime elements_84_61_40) alloc) (forall ((?s_34_ Int)) (=> (not (= ?s_34_ null)) (not (= (S_select elements_84_61_40 ?s_34_) null)))) (= dontAddImplicitConstructorInvocations_pre_23_26 dontAddImplicitConstructorInvocations_23_26) (= true_term (is dontAddImplicitConstructorInvocations_23_26 T_boolean)) (= locId_pre_91_24_13 locId_91_24_13) (= locId_91_24_13 (asField locId_91_24_13 T_int)) (= CHARLIT_pre_28_41_26 CHARLIT_28_41_26) (= true_term (is CHARLIT_28_41_26 T_int)) (= expr_pre_76_15_28 expr_76_15_28) (= expr_76_15_28 (asField expr_76_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_76_15_28) alloc) (forall ((?s_35_ Int)) (=> (not (= ?s_35_ null)) (not (= (S_select expr_76_15_28 ?s_35_) null)))) (= LOCALVARDECL_pre_30_22_7 LOCALVARDECL_30_22_7) (= true_term (is LOCALVARDECL_30_22_7 T_int)) (= enclosingLabels_pre_77_22 enclosingLabels_77_22) (= enclosingLabels_77_22 (asField enclosingLabels_77_22 T_javafe_ast_StmtVec)) (< (fClosedTime enclosingLabels_77_22) alloc) (= whereDecoration_pre_20_597_41 whereDecoration_20_597_41) (= true_term (is whereDecoration_20_597_41 T_javafe_ast_ASTDecoration)) (= true_term (isAllocated whereDecoration_20_597_41 alloc)) (= ASGREM_pre_29_43_26 ASGREM_29_43_26) (= true_term (is ASGREM_29_43_26 T_int)) (= locQuestion_pre_100_22_13 locQuestion_100_22_13) (= locQuestion_100_22_13 (asField locQuestion_100_22_13 T_int)) (= pmodifiers_pre_32_26_27 pmodifiers_32_26_27) (= pmodifiers_32_26_27 (asField pmodifiers_32_26_27 T_javafe_ast_ModifierPragmaVec)) (< (fClosedTime pmodifiers_32_26_27) alloc) (= NEWINSTANCEEXPR_pre_30_49_7 NEWINSTANCEEXPR_30_49_7) (= true_term (is NEWINSTANCEEXPR_30_49_7 T_int)) (= loc_pre_92_29_13 loc_92_29_13) (= loc_92_29_13 (asField loc_92_29_13 T_int)) (= LONGLIT_pre_28_40_26 LONGLIT_28_40_26) (= true_term (is LONGLIT_28_40_26 T_int)) (= overridesDecoration_pre_48_154_45 overridesDecoration_48_154_45) (= true_term (is overridesDecoration_48_154_45 T_javafe_ast_ASTDecoration)) (= true_term (isAllocated overridesDecoration_48_154_45 alloc)) (not (= overridesDecoration_48_154_45 null)) (= modifiers_pre_32_24_13 modifiers_32_24_13) (= modifiers_32_24_13 (asField modifiers_32_24_13 T_int)) (= anonDecl_pre_95_45_19 anonDecl_95_45_19) (= anonDecl_95_45_19 (asField anonDecl_95_45_19 T_javafe_ast_ClassDecl)) (< (fClosedTime anonDecl_95_45_19) alloc) (= keyType_pre_64_16_25 keyType_64_16_25) (= keyType_64_16_25 (asField keyType_64_16_25 T__TYPE)) (= INITBLOCK_pre_30_21_7 INITBLOCK_30_21_7) (= true_term (is INITBLOCK_30_21_7 T_int)) (= loc_pre_83_23_13 loc_83_23_13) (= loc_83_23_13 (asField loc_83_23_13 T_int)) (= floatType_pre_38_119_4 floatType_38_119_4) (= true_term (is floatType_38_119_4 T_javafe_ast_PrimitiveType)) (= true_term (isAllocated floatType_38_119_4 alloc)) (= type_pre_102_18_28 type_102_18_28) (= type_102_18_28 (asField type_102_18_28 T_javafe_ast_Type)) (< (fClosedTime type_102_18_28) alloc) (forall ((?s_36_ Int)) (=> (not (= ?s_36_ null)) (not (= (S_select type_102_18_28 ?s_36_) null)))) (= array_pre_94_15_28 array_94_15_28) (= array_94_15_28 (asField array_94_15_28 T_javafe_ast_Expr)) (< (fClosedTime array_94_15_28) alloc) (forall ((?s_37_ Int)) (=> (not (= ?s_37_ null)) (not (= (S_select array_94_15_28 ?s_37_) null)))) (= ASGDIV_pre_29_42_26 ASGDIV_29_42_26) (= true_term (is ASGDIV_29_42_26 T_int)) (= ARRAYREFEXPR_pre_30_48_7 ARRAYREFEXPR_30_48_7) (= true_term (is ARRAYREFEXPR_30_48_7 T_int)) (= expr_pre_66_26_14 expr_66_26_14) (= expr_66_26_14 (asField expr_66_26_14 T_javafe_ast_Expr)) (< (fClosedTime expr_66_26_14) alloc) (= type_pre_109_27_28 type_109_27_28) (= type_109_27_28 (asField type_109_27_28 T_javafe_ast_Type)) (< (fClosedTime type_109_27_28) alloc) (forall ((?s_38_ Int)) (=> (not (= ?s_38_ null)) (not (= (S_select type_109_27_28 ?s_38_) null)))) (= locCloseBrace_pre_40_25_13 locCloseBrace_40_25_13) (= locCloseBrace_40_25_13 (asField locCloseBrace_40_25_13 T_int)) (= INTLIT_pre_28_39_26 INTLIT_28_39_26) (= true_term (is INTLIT_28_39_26 T_int)) (= type_pre_101_18_28 type_101_18_28) (= type_101_18_28 (asField type_101_18_28 T_javafe_ast_Type)) (< (fClosedTime type_101_18_28) alloc) (forall ((?s_39_ Int)) (=> (not (= ?s_39_ null)) (not (= (S_select type_101_18_28 ?s_39_) null)))) (= METHODDECL_pre_30_20_7 METHODDECL_30_20_7) (= true_term (is METHODDECL_30_20_7 T_int)) (= args_pre_111_30_31 args_111_30_31) (= args_111_30_31 (asField args_111_30_31 T_javafe_ast_ExprVec)) (< (fClosedTime args_111_30_31) alloc) (forall ((?s_40_ Int)) (=> (not (= ?s_40_ null)) (not (= (S_select args_111_30_31 ?s_40_) null)))) (= ht_pre_155_33_36 ht_155_33_36) (= ht_155_33_36 (asField ht_155_33_36 T_java_util_Hashtable)) (< (fClosedTime ht_155_33_36) alloc) (forall ((?s_41_ Int)) (=> (not (= ?s_41_ null)) (not (= (S_select ht_155_33_36 ?s_41_) null)))) (= ASGMUL_pre_29_41_26 ASGMUL_29_41_26) (= true_term (is ASGMUL_29_41_26 T_int)) (= typeEnv_pre_20_323_32 typeEnv_20_323_32) (= true_term (is typeEnv_20_323_32 T_javafe_ast_ASTDecoration)) (= true_term (isAllocated typeEnv_20_323_32 alloc)) (= elems_pre_18_41_39 elems_18_41_39) (= elems_18_41_39 (asField elems_18_41_39 T_javafe_ast_TypeDeclElemVec)) (< (fClosedTime elems_18_41_39) alloc) (forall ((?s_42_ Int)) (=> (not (= ?s_42_ null)) (not (= (S_select elems_18_41_39 ?s_42_) null)))) (= lengthFieldDecl_pre_38_917_40 lengthFieldDecl_38_917_40) (= true_term (is lengthFieldDecl_38_917_40 T_javafe_ast_FieldDecl)) (= true_term (isAllocated lengthFieldDecl_38_917_40 alloc)) (not (= lengthFieldDecl_38_917_40 null)) (= THISEXPR_pre_30_47_7 THISEXPR_30_47_7) (= true_term (is THISEXPR_30_47_7 T_int)) (= parent_pre_32_21_18 parent_32_21_18) (= parent_32_21_18 (asField parent_32_21_18 T_javafe_ast_TypeDecl)) (< (fClosedTime parent_32_21_18) alloc) (= count_pre_47_67_33 count_47_67_33) (= count_47_67_33 (asField count_47_67_33 T_int)) (= locFinally_pre_82_25_13 locFinally_82_25_13) (= locFinally_82_25_13 (asField locFinally_82_25_13 T_int)) (= count_pre_15_67_33 count_15_67_33) (= count_15_67_33 (asField count_15_67_33 T_int)) (= loc_pre_60_18_13 loc_60_18_13) (= loc_60_18_13 (asField loc_60_18_13 T_int)) (= type_pre_103_20_28 type_103_20_28) (= type_103_20_28 (asField type_103_20_28 T_javafe_ast_Type)) (< (fClosedTime type_103_20_28) alloc) (forall ((?s_43_ Int)) (=> (not (= ?s_43_ null)) (not (= (S_select type_103_20_28 ?s_43_) null)))) (= id_pre_91_21_34 id_91_21_34) (= id_91_21_34 (asField id_91_21_34 T_javafe_ast_Identifier)) (< (fClosedTime id_91_21_34) alloc) (forall ((?s_44_ Int)) (=> (not (= ?s_44_ null)) (not (= (S_select id_91_21_34 ?s_44_) null)))) (= BOOLEANLIT_pre_28_38_26 BOOLEANLIT_28_38_26) (= true_term (is BOOLEANLIT_28_38_26 T_int)) (= loc_pre_72_18_13 loc_72_18_13) (= loc_72_18_13 (asField loc_72_18_13 T_int)) (= CONSTRUCTORDECL_pre_30_19_7 CONSTRUCTORDECL_30_19_7) (= true_term (is CONSTRUCTORDECL_30_19_7 T_int)) (= ASSIGN_pre_29_40_26 ASSIGN_29_40_26) (= true_term (is ASSIGN_29_40_26 T_int)) (= TYPEMODIFIERPRAGMA_pre_27_28_26 TYPEMODIFIERPRAGMA_27_28_26) (= true_term (is TYPEMODIFIERPRAGMA_27_28_26 T_int)) (= ARRAYINIT_pre_30_46_7 ARRAYINIT_30_46_7) (= true_term (is ARRAYINIT_30_46_7 T_int)) (= els_pre_100_19_28 els_100_19_28) (= els_100_19_28 (asField els_100_19_28 T_javafe_ast_Expr)) (< (fClosedTime els_100_19_28) alloc) (forall ((?s_45_ Int)) (=> (not (= ?s_45_ null)) (not (= (S_select els_100_19_28 ?s_45_) null)))) (= member_pre_5_44_39 member_5_44_39) (= member_5_44_39 (asField member_5_44_39 T_boolean)) (= args_pre_95_34_31 args_95_34_31) (= args_95_34_31 (asField args_95_34_31 T_javafe_ast_ExprVec)) (< (fClosedTime args_95_34_31) alloc) (forall ((?s_46_ Int)) (=> (not (= ?s_46_ null)) (not (= (S_select args_95_34_31 ?s_46_) null)))) (= classPrefix_pre_92_25_14 classPrefix_92_25_14) (= classPrefix_92_25_14 (asField classPrefix_92_25_14 T_javafe_ast_Type)) (< (fClosedTime classPrefix_92_25_14) alloc) (= loc_pre_75_20_13 loc_75_20_13) (= loc_75_20_13 (asField loc_75_20_13 T_int)) (= SHORTTYPE_pre_28_36_26 SHORTTYPE_28_36_26) (= true_term (is SHORTTYPE_28_36_26 T_int)) (= locOpenParen_pre_111_28_13 locOpenParen_111_28_13) (= locOpenParen_111_28_13 (asField locOpenParen_111_28_13 T_int)) (= INTERFACEDECL_pre_30_18_7 INTERFACEDECL_30_18_7) (= true_term (is INTERFACEDECL_30_18_7 T_int)) (= inst_pre_93_29_44 inst_93_29_44) (= true_term (is inst_93_29_44 T_javafe_ast_PrettyPrint)) (= true_term (isAllocated inst_93_29_44 alloc)) (not (= inst_93_29_44 null)) (= init_pre_22_20_17 init_22_20_17) (= init_22_20_17 (asField init_22_20_17 T_javafe_ast_VarInit)) (< (fClosedTime init_22_20_17) alloc) (= TYPESIG_pre_26_6_28 TYPESIG_26_6_28) (= true_term (is TYPESIG_26_6_28 T_int)) (= STAR_pre_29_37_26 STAR_29_37_26) (= true_term (is STAR_29_37_26 T_int)) (= locCloseParen_pre_106_21_13 locCloseParen_106_21_13) (= locCloseParen_106_21_13 (asField locCloseParen_106_21_13 T_int)) (= allowedExceptions_pre_74_25 allowedExceptions_74_25) (= allowedExceptions_74_25 (asField allowedExceptions_74_25 T_javafe_tc_TypeSigVec)) (< (fClosedTime allowedExceptions_74_25) alloc) (= CATCHCLAUSE_pre_30_45_7 CATCHCLAUSE_30_45_7) (= true_term (is CATCHCLAUSE_30_45_7 T_int)) (= elements_pre_166_61_39 elements_166_61_39) (= elements_166_61_39 (asField elements_166_61_39 (array T_javafe_ast_Identifier))) (< (fClosedTime elements_166_61_39) alloc) (forall ((?s_47_ Int)) (=> (not (= ?s_47_ null)) (not (= (S_select elements_166_61_39 ?s_47_) null)))) (= elements_pre_90_61_36 elements_90_61_36) (= elements_90_61_36 (asField elements_90_61_36 (array T_javafe_ast_VarInit))) (< (fClosedTime elements_90_61_36) alloc) (forall ((?s_48_ Int)) (=> (not (= ?s_48_ null)) (not (= (S_select elements_90_61_36 ?s_48_) null)))) (= methods_pre_5_883_26 methods_5_883_26) (= methods_5_883_26 (asField methods_5_883_26 T_javafe_tc_MethodDeclVec)) (< (fClosedTime methods_5_883_26) alloc) (= NOTACCESSIBLE_pre_86_13_26 NOTACCESSIBLE_86_13_26) (= true_term (is NOTACCESSIBLE_86_13_26 T_int)) (= TYPEDECLELEMPRAGMA_pre_27_27_26 TYPEDECLELEMPRAGMA_27_27_26) (= true_term (is TYPEDECLELEMPRAGMA_27_27_26 T_int)) (= od_pre_91_19_40 od_91_19_40) (= od_91_19_40 (asField od_91_19_40 T_javafe_ast_ObjectDesignator)) (< (fClosedTime od_91_19_40) alloc) (forall ((?s_49_ Int)) (=> (not (= ?s_49_ null)) (not (= (S_select od_91_19_40 ?s_49_) null)))) (= doubleType_pre_38_115_4 doubleType_38_115_4) (= true_term (is doubleType_38_115_4 T_javafe_ast_PrimitiveType)) (= true_term (isAllocated doubleType_38_115_4 alloc)) (= parent_pre_22_18_18 parent_22_18_18) (= parent_22_18_18 (asField parent_22_18_18 T_javafe_ast_TypeDecl)) (< (fClosedTime parent_22_18_18) alloc) (= locOpenBrace_pre_40_22_13 locOpenBrace_40_22_13) (= locOpenBrace_40_22_13 (asField locOpenBrace_40_22_13 T_int)) (= BYTETYPE_pre_28_35_26 BYTETYPE_28_35_26) (= true_term (is BYTETYPE_28_35_26 T_int)) (= CLASSDECL_pre_30_17_7 CLASSDECL_30_17_7) (= true_term (is CLASSDECL_30_17_7 T_int)) (= expr_pre_102_15_28 expr_102_15_28) (= expr_102_15_28 (asField expr_102_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_102_15_28) alloc) (forall ((?s_50_ Int)) (=> (not (= ?s_50_ null)) (not (= (S_select expr_102_15_28 ?s_50_) null)))) (= MOD_pre_29_36_26 MOD_29_36_26) (= true_term (is MOD_29_36_26 T_int)) (= constructorSeq_pre_48_171_38 constructorSeq_48_171_38) (= constructorSeq_48_171_38 (asField constructorSeq_48_171_38 T_javafe_util_StackVector)) (< (fClosedTime constructorSeq_48_171_38) alloc) (forall ((?s_51_ Int)) (=> (not (= ?s_51_ null)) (not (= (S_select constructorSeq_48_171_38 ?s_51_) null)))) (= BADTYPECOMBO_pre_86_12_26 BADTYPECOMBO_86_12_26) (= true_term (is BADTYPECOMBO_86_12_26 T_int)) (= thn_pre_100_17_28 thn_100_17_28) (= thn_100_17_28 (asField thn_100_17_28 T_javafe_ast_Expr)) (< (fClosedTime thn_100_17_28) alloc) (forall ((?s_52_ Int)) (=> (not (= ?s_52_ null)) (not (= (S_select thn_100_17_28 ?s_52_) null)))) (= CONSTRUCTORINVOCATION_pre_30_44_7 CONSTRUCTORINVOCATION_30_44_7) (= true_term (is CONSTRUCTORINVOCATION_30_44_7 T_int)) (= superInterfaces_pre_18_34_35 superInterfaces_18_34_35) (= superInterfaces_18_34_35 (asField superInterfaces_18_34_35 T_javafe_ast_TypeNameVec)) (< (fClosedTime superInterfaces_18_34_35) alloc) (forall ((?s_53_ Int)) (=> (not (= ?s_53_ null)) (not (= (S_select superInterfaces_18_34_35 ?s_53_) null)))) (= elements_pre_122_61_38 elements_122_61_38) (= elements_122_61_38 (asField elements_122_61_38 (array T_javafe_ast_FieldDecl))) (< (fClosedTime elements_122_61_38) alloc) (forall ((?s_54_ Int)) (=> (not (= ?s_54_ null)) (not (= (S_select elements_122_61_38 ?s_54_) null)))) (= catchClauses_pre_83_20_38 catchClauses_83_20_38) (= catchClauses_83_20_38 (asField catchClauses_83_20_38 T_javafe_ast_CatchClauseVec)) (< (fClosedTime catchClauses_83_20_38) alloc) (forall ((?s_55_ Int)) (=> (not (= ?s_55_ null)) (not (= (S_select catchClauses_83_20_38 ?s_55_) null)))) (= locIds_pre_167_25_29 locIds_167_25_29) (= locIds_167_25_29 (asField locIds_167_25_29 ?v_0)) (< (fClosedTime locIds_167_25_29) alloc) (forall ((?s_56_ Int)) (=> (not (= ?s_56_ null)) (not (= (S_select locIds_167_25_29 ?s_56_) null)))) (= count_pre_45_67_33 count_45_67_33) (= count_45_67_33 (asField count_45_67_33 T_int)) (= type_pre_95_32_32 type_95_32_32) (= type_95_32_32 (asField type_95_32_32 T_javafe_ast_TypeName)) (< (fClosedTime type_95_32_32) alloc) (forall ((?s_57_ Int)) (=> (not (= ?s_57_ null)) (not (= (S_select type_95_32_32 ?s_57_) null)))) (= locOp_pre_104_43_13 locOp_104_43_13) (= locOp_104_43_13 (asField locOp_104_43_13 T_int)) (= expr_pre_60_15_28 expr_60_15_28) (= expr_60_15_28 (asField expr_60_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_60_15_28) alloc) (forall ((?s_58_ Int)) (=> (not (= ?s_58_ null)) (not (= (S_select expr_60_15_28 ?s_58_) null)))) (= loc_pre_82_22_13 loc_82_22_13) (= loc_82_22_13 (asField loc_82_22_13 T_int)) (= loc_pre_99_49_13 loc_99_49_13) (= loc_99_49_13 (asField loc_99_49_13 T_int)) (= expr_pre_113_22_28 expr_113_22_28) (= expr_113_22_28 (asField expr_113_22_28 T_javafe_ast_Expr)) (< (fClosedTime expr_113_22_28) alloc) (forall ((?s_59_ Int)) (=> (not (= ?s_59_ null)) (not (= (S_select expr_113_22_28 ?s_59_) null)))) (= NULLTYPE_pre_28_34_26 NULLTYPE_28_34_26) (= true_term (is NULLTYPE_28_34_26 T_int)) (= expr_pre_101_15_28 expr_101_15_28) (= expr_101_15_28 (asField expr_101_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_101_15_28) alloc) (forall ((?s_60_ Int)) (=> (not (= ?s_60_ null)) (not (= (S_select expr_101_15_28 ?s_60_) null)))) (= label_pre_72_15_20 label_72_15_20) (= label_72_15_20 (asField label_72_15_20 T_javafe_ast_Identifier)) (< (fClosedTime label_72_15_20) alloc) (= STMTPRAGMA_pre_27_26_26 STMTPRAGMA_27_26_26) (= true_term (is STMTPRAGMA_27_26_26 T_int)) (= ONDEMANDIMPORTDECL_pre_30_16_7 ONDEMANDIMPORTDECL_30_16_7) (= true_term (is ONDEMANDIMPORTDECL_30_16_7 T_int)) (= locOp_pre_105_32_13 locOp_105_32_13) (= locOp_105_32_13 (asField locOp_105_32_13 T_int)) (= DIV_pre_29_35_26 DIV_29_35_26) (= true_term (is DIV_29_35_26 T_int)) (= TRYCATCHSTMT_pre_30_43_7 TRYCATCHSTMT_30_43_7) (= true_term (is TRYCATCHSTMT_30_43_7 T_int)) (= currentStackBottom_pre_153_87_33 currentStackBottom_153_87_33) (= currentStackBottom_153_87_33 (asField currentStackBottom_153_87_33 T_int)) (= superCall_pre_42_24_17 superCall_42_24_17) (= superCall_42_24_17 (asField superCall_42_24_17 T_boolean)) (= stmt_pre_75_17_28 stmt_75_17_28) (= stmt_75_17_28 (asField stmt_75_17_28 T_javafe_ast_Stmt)) (< (fClosedTime stmt_75_17_28) alloc) (forall ((?s_61_ Int)) (=> (not (= ?s_61_ null)) (not (= (S_select stmt_75_17_28 ?s_61_) null)))) (= simpleName_pre_5_37_38 simpleName_5_37_38) (= simpleName_5_37_38 (asField simpleName_5_37_38 T_java_lang_String)) (< (fClosedTime simpleName_5_37_38) alloc) (= VOIDTYPE_pre_28_33_26 VOIDTYPE_28_33_26) (= true_term (is VOIDTYPE_28_33_26 T_int)) (= SINGLETYPEIMPORTDECL_pre_30_15_7 SINGLETYPEIMPORTDECL_30_15_7) (= true_term (is SINGLETYPEIMPORTDECL_30_15_7 T_int)) (= locId_pre_111_25_13 locId_111_25_13) (= locId_111_25_13 (asField locId_111_25_13 T_int)) (= locSuper_pre_114_20_13 locSuper_114_20_13) (= locSuper_114_20_13 (asField locSuper_114_20_13 T_int)) (= SUB_pre_29_34_26 SUB_29_34_26) (= true_term (is SUB_29_34_26 T_int)) (= AMBIGUOUS_pre_86_11_26 AMBIGUOUS_86_11_26) (= true_term (is AMBIGUOUS_86_11_26 T_int)) (= MODIFIERPRAGMA_pre_27_25_26 MODIFIERPRAGMA_27_25_26) (= true_term (is MODIFIERPRAGMA_27_25_26 T_int)) (= test_pre_100_15_28 test_100_15_28) (= test_100_15_28 (asField test_100_15_28 T_javafe_ast_Expr)) (< (fClosedTime test_100_15_28) alloc) (forall ((?s_62_ Int)) (=> (not (= ?s_62_ null)) (not (= (S_select test_100_15_28 ?s_62_) null)))) (= locCloseBrace_pre_88_24_13 locCloseBrace_88_24_13) (= locCloseBrace_88_24_13 (asField locCloseBrace_88_24_13 T_int)) (= TRYFINALLYSTMT_pre_30_42_7 TRYFINALLYSTMT_30_42_7) (= true_term (is TRYFINALLYSTMT_30_42_7 T_int)) (= locDot_pre_95_29_13 locDot_95_29_13) (= locDot_95_29_13 (asField locDot_95_29_13 T_int)) (= decl_pre_50_38_43 decl_50_38_43) (= decl_50_38_43 (asField decl_50_38_43 T_javafe_ast_GenericVarDecl)) (< (fClosedTime decl_50_38_43) alloc) (forall ((?s_63_ Int)) (=> (not (= ?s_63_ null)) (not (= (S_select decl_50_38_43 ?s_63_) null)))) (= id_pre_18_32_34 id_18_32_34) (= id_18_32_34 (asField id_18_32_34 T_javafe_ast_Identifier)) (< (fClosedTime id_18_32_34) alloc) (forall ((?s_64_ Int)) (=> (not (= ?s_64_ null)) (not (= (S_select id_18_32_34 ?s_64_) null)))) (= tryClause_pre_83_18_28 tryClause_83_18_28) (= tryClause_83_18_28 (asField tryClause_83_18_28 T_javafe_ast_Stmt)) (< (fClosedTime tryClause_83_18_28) alloc) (forall ((?s_65_ Int)) (=> (not (= ?s_65_ null)) (not (= (S_select tryClause_83_18_28 ?s_65_) null)))) (= stmts_pre_40_19_31 stmts_40_19_31) (= stmts_40_19_31 (asField stmts_40_19_31 T_javafe_ast_StmtVec)) (< (fClosedTime stmts_40_19_31) alloc) (forall ((?s_66_ Int)) (=> (not (= ?s_66_ null)) (not (= (S_select stmts_40_19_31 ?s_66_) null)))) (= loc_pre_79_22_13 loc_79_22_13) (= loc_79_22_13 (asField loc_79_22_13 T_int)) (= DOUBLETYPE_pre_28_32_26 DOUBLETYPE_28_32_26) (= true_term (is DOUBLETYPE_28_32_26 T_int)) (= NOTFOUND_pre_86_10_26 NOTFOUND_86_10_26) (= true_term (is NOTFOUND_86_10_26 T_int)) (= COMPILATIONUNIT_pre_30_14_7 COMPILATIONUNIT_30_14_7) (= true_term (is COMPILATIONUNIT_30_14_7 T_int)) (= loc_pre_77_18_13 loc_77_18_13) (= loc_77_18_13 (asField loc_77_18_13 T_int)) (= ADD_pre_29_33_26 ADD_29_33_26) (= true_term (is ADD_29_33_26 T_int)) (= intType_pre_38_111_4 intType_38_111_4) (= true_term (is intType_38_111_4 T_javafe_ast_PrimitiveType)) (= true_term (isAllocated intType_38_111_4 alloc)) (= FIRST_KEYWORD_pre_27_51_26 FIRST_KEYWORD_27_51_26) (= true_term (is FIRST_KEYWORD_27_51_26 T_int)) (= locType_pre_33_21_13 locType_33_21_13) (= locType_33_21_13 (asField locType_33_21_13 T_int)) (= SWITCHLABEL_pre_30_41_7 SWITCHLABEL_30_41_7) (= true_term (is SWITCHLABEL_30_41_7 T_int)) (= LEXICALPRAGMA_pre_27_24_26 LEXICALPRAGMA_27_24_26) (= true_term (is LEXICALPRAGMA_27_24_26 T_int)) (= enclosingType_pre_5_32_39 enclosingType_5_32_39) (= enclosingType_5_32_39 (asField enclosingType_5_32_39 T_javafe_tc_TypeSig)) (< (fClosedTime enclosingType_5_32_39) alloc) (= reason_pre_86_8_13 reason_86_8_13) (= reason_86_8_13 (asField reason_86_8_13 T_int)) (= right_pre_104_40_28 right_104_40_28) (= right_104_40_28 (asField right_104_40_28 T_javafe_ast_Expr)) (< (fClosedTime right_104_40_28) alloc) (forall ((?s_67_ Int)) (=> (not (= ?s_67_ null)) (not (= (S_select right_104_40_28 ?s_67_) null)))) (= expr_pre_75_15_28 expr_75_15_28) (= expr_75_15_28 (asField expr_75_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_75_15_28) alloc) (forall ((?s_68_ Int)) (=> (not (= ?s_68_ null)) (not (= (S_select expr_75_15_28 ?s_68_) null)))) (= locOpenParen_pre_106_18_13 locOpenParen_106_18_13) (= locOpenParen_106_18_13 (asField locOpenParen_106_18_13 T_int)) (= finallyClause_pre_82_19_28 finallyClause_82_19_28) (= finallyClause_82_19_28 (asField finallyClause_82_19_28 T_javafe_ast_Stmt)) (< (fClosedTime finallyClause_82_19_28) alloc) (forall ((?s_69_ Int)) (=> (not (= ?s_69_ null)) (not (= (S_select finallyClause_82_19_28 ?s_69_) null)))) (= dims_pre_99_45_31 dims_99_45_31) (= dims_99_45_31 (asField dims_99_45_31 T_javafe_ast_ExprVec)) (< (fClosedTime dims_99_45_31) alloc) (forall ((?s_70_ Int)) (=> (not (= ?s_70_ null)) (not (= (S_select dims_99_45_31 ?s_70_) null)))) (= FLOATTYPE_pre_28_31_26 FLOATTYPE_28_31_26) (= true_term (is FLOATTYPE_28_31_26 T_int)) (= expr_pre_105_29_28 expr_105_29_28) (= expr_105_29_28 (asField expr_105_29_28 T_javafe_ast_Expr)) (< (fClosedTime expr_105_29_28) alloc) (forall ((?s_71_ Int)) (=> (not (= ?s_71_ null)) (not (= (S_select expr_105_29_28 ?s_71_) null)))) (= returnType_pre_68_19 returnType_68_19) (= returnType_68_19 (asField returnType_68_19 T_javafe_ast_Type)) (< (fClosedTime returnType_68_19) alloc) (= URSHIFT_pre_29_32_26 URSHIFT_29_32_26) (= true_term (is URSHIFT_29_32_26 T_int)) (= locOpenParen_pre_110_30_13 locOpenParen_110_30_13) (= locOpenParen_110_30_13 (asField locOpenParen_110_30_13 T_int)) (= SKIPSTMT_pre_30_40_7 SKIPSTMT_30_40_7) (= true_term (is SKIPSTMT_30_40_7 T_int)) (= decl_pre_56_15_33 decl_56_15_33) (= decl_56_15_33 (asField decl_56_15_33 T_javafe_ast_ClassDecl)) (< (fClosedTime decl_56_15_33) alloc) (forall ((?s_72_ Int)) (=> (not (= ?s_72_ null)) (not (= (S_select decl_56_15_33 ?s_72_) null)))) (= pmodifiers_pre_18_30_27 pmodifiers_18_30_27) (= pmodifiers_18_30_27 (asField pmodifiers_18_30_27 T_javafe_ast_ModifierPragmaVec)) (< (fClosedTime pmodifiers_18_30_27) alloc) (= COMPOUNDNAME_pre_30_67_7 COMPOUNDNAME_30_67_7) (= true_term (is COMPOUNDNAME_30_67_7 T_int)) (= fields_pre_5_875_27 fields_5_875_27) (= fields_5_875_27 (asField fields_5_875_27 T_javafe_tc_FieldDeclVec)) (< (fClosedTime fields_5_875_27) alloc) (= CHARTYPE_pre_28_30_26 CHARTYPE_28_30_26) (= true_term (is CHARTYPE_28_30_26 T_int)) (= count_pre_24_67_33 count_24_67_33) (= count_24_67_33 (asField count_24_67_33 T_int)) (= init_pre_55_19_17 init_55_19_17) (= init_55_19_17 (asField init_55_19_17 T_javafe_ast_VarInit)) (< (fClosedTime init_55_19_17) alloc) (= RSHIFT_pre_29_31_26 RSHIFT_29_31_26) (= true_term (is RSHIFT_29_31_26 T_int)) (= modifiers_pre_18_28_13 modifiers_18_28_13) (= modifiers_18_28_13 (asField modifiers_18_28_13 T_int)) (= CU_pre_5_71_30 CU_5_71_30) (= CU_5_71_30 (asField CU_5_71_30 T_javafe_ast_CompilationUnit)) (< (fClosedTime CU_5_71_30) alloc) (= FORSTMT_pre_30_39_7 FORSTMT_30_39_7) (= true_term (is FORSTMT_30_39_7 T_int)) (= locOpenBrace_pre_88_21_13 locOpenBrace_88_21_13) (= locOpenBrace_88_21_13 (asField locOpenBrace_88_21_13 T_int)) (= tag_pre_124_32_13 tag_124_32_13) (= tag_124_32_13 (asField tag_124_32_13 T_int)) (= left_pre_104_38_28 left_104_38_28) (= left_104_38_28 (asField left_104_38_28 T_javafe_ast_Expr)) (< (fClosedTime left_104_38_28) alloc) (forall ((?s_73_ Int)) (=> (not (= ?s_73_ null)) (not (= (S_select left_104_38_28 ?s_73_) null)))) (= elements_pre_118_61_47 elements_118_61_47) (= elements_118_61_47 (asField elements_118_61_47 (array T_javafe_ast_TypeModifierPragma))) (< (fClosedTime elements_118_61_47) alloc) (forall ((?s_74_ Int)) (=> (not (= ?s_74_ null)) (not (= (S_select elements_118_61_47 ?s_74_) null)))) (= leftToRight_pre_65_22 leftToRight_65_22) (= leftToRight_65_22 (asField leftToRight_65_22 T_boolean)) (= specOnly_pre_18_26_17 specOnly_18_26_17) (= specOnly_18_26_17 (asField specOnly_18_26_17 T_boolean)) (= id_pre_111_20_34 id_111_20_34) (= id_111_20_34 (asField id_111_20_34 T_javafe_ast_Identifier)) (< (fClosedTime id_111_20_34) alloc) (forall ((?s_75_ Int)) (=> (not (= ?s_75_ null)) (not (= (S_select id_111_20_34 ?s_75_) null)))) (= SIMPLENAME_pre_30_66_7 SIMPLENAME_30_66_7) (= true_term (is SIMPLENAME_30_66_7 T_int)) (= lenId_pre_38_914_30 lenId_38_914_30) (= true_term (is lenId_38_914_30 T_javafe_ast_Identifier)) (= true_term (isAllocated lenId_38_914_30 alloc)) (= tryClause_pre_82_17_28 tryClause_82_17_28) (= tryClause_82_17_28 (asField tryClause_82_17_28 T_javafe_ast_Stmt)) (< (fClosedTime tryClause_82_17_28) alloc) (forall ((?s_76_ Int)) (=> (not (= ?s_76_ null)) (not (= (S_select tryClause_82_17_28 ?s_76_) null)))) (= LONGTYPE_pre_28_29_26 LONGTYPE_28_29_26) (= true_term (is LONGTYPE_28_29_26 T_int)) (= els_pre_79_19_28 els_79_19_28) (= els_79_19_28 (asField els_79_19_28 T_javafe_ast_Stmt)) (< (fClosedTime els_79_19_28) alloc) (forall ((?s_77_ Int)) (=> (not (= ?s_77_ null)) (not (= (S_select els_79_19_28 ?s_77_) null)))) (= hasParent_pre_19_149_30 hasParent_19_149_30) (= hasParent_19_149_30 (asField hasParent_19_149_30 T_boolean)) (= op_pre_105_26_13 op_105_26_13) (= op_105_26_13 (asField op_105_26_13 T_int)) (= count_pre_123_67_33 count_123_67_33) (= count_123_67_33 (asField count_123_67_33 T_int)) (= expr_pre_77_15_14 expr_77_15_14) (= expr_77_15_14 (asField expr_77_15_14 T_javafe_ast_Expr)) (< (fClosedTime expr_77_15_14) alloc) (= shortType_pre_38_139_4 shortType_38_139_4) ?v_20 (= true_term (isAllocated shortType_38_139_4 alloc)) (= LSHIFT_pre_29_30_26 LSHIFT_29_30_26) (= true_term (is LSHIFT_29_30_26 T_int)) (= enclosingInstance_pre_95_25_14 enclosingInstance_95_25_14) (= enclosingInstance_95_25_14 (asField enclosingInstance_95_25_14 T_javafe_ast_Expr)) (< (fClosedTime enclosingInstance_95_25_14) alloc) (= IFSTMT_pre_30_38_7 IFSTMT_30_38_7) (= true_term (is IFSTMT_30_38_7 T_int)) (= POSTFIXDEC_pre_29_63_26 POSTFIXDEC_29_63_26) (= true_term (is POSTFIXDEC_29_63_26 T_int)) (= loc_pre_160_18_13 loc_160_18_13) (= loc_160_18_13 (asField loc_160_18_13 T_int)) (= booleanType_pre_38_107_4 booleanType_38_107_4) (= true_term (is booleanType_38_107_4 T_javafe_ast_PrimitiveType)) (= true_term (isAllocated booleanType_38_107_4 alloc)) (= ARRAYTYPE_pre_30_65_7 ARRAYTYPE_30_65_7) (= true_term (is ARRAYTYPE_30_65_7 T_int)) (= expr_pre_106_15_28 expr_106_15_28) (= expr_106_15_28 (asField expr_106_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_106_15_28) alloc) (forall ((?s_78_ Int)) (=> (not (= ?s_78_ null)) (not (= (S_select expr_106_15_28 ?s_78_) null)))) (= loc_pre_124_50_13 loc_124_50_13) (= loc_124_50_13 (asField loc_124_50_13 T_int)) (= INTTYPE_pre_28_28_26 INTTYPE_28_28_26) (= true_term (is INTTYPE_28_28_26 T_int)) (= LT_pre_29_29_26 LT_29_29_26) (= true_term (is LT_29_29_26 T_int)) (= block_pre_51_28_33 block_51_28_33) (= block_51_28_33 (asField block_51_28_33 T_javafe_ast_BlockStmt)) (< (fClosedTime block_51_28_33) alloc) (forall ((?s_79_ Int)) (=> (not (= ?s_79_ null)) (not (= (S_select block_51_28_33 ?s_79_) null)))) (= LABELSTMT_pre_30_37_7 LABELSTMT_30_37_7) (= true_term (is LABELSTMT_30_37_7 T_int)) (= count_pre_43_67_33 count_43_67_33) (= count_43_67_33 (asField count_43_67_33 T_int)) (= POSTFIXINC_pre_29_62_26 POSTFIXINC_29_62_26) (= true_term (is POSTFIXINC_29_62_26 T_int)) (= op_pre_104_35_13 op_104_35_13) (= op_104_35_13 (asField op_104_35_13 T_int)) (= locId_pre_32_43_13 locId_32_43_13) (= locId_32_43_13 (asField locId_32_43_13 T_int)) (= noTokens_pre_27_212_27 noTokens_27_212_27) (= true_term (is noTokens_27_212_27 T_int)) (= od_pre_111_18_40 od_111_18_40) (= od_111_18_40 (asField od_111_18_40 T_javafe_ast_ObjectDesignator)) (< (fClosedTime od_111_18_40) alloc) (forall ((?s_80_ Int)) (=> (not (= ?s_80_ null)) (not (= (S_select od_111_18_40 ?s_80_) null)))) (= TYPENAME_pre_30_64_7 TYPENAME_30_64_7) (= true_term (is TYPENAME_30_64_7 T_int)) (= thn_pre_79_17_28 thn_79_17_28) (= thn_79_17_28 (asField thn_79_17_28 T_javafe_ast_Stmt)) (< (fClosedTime thn_79_17_28) alloc) (forall ((?s_81_ Int)) (=> (not (= ?s_81_ null)) (not (= (S_select thn_79_17_28 ?s_81_) null)))) (= BOOLEANTYPE_pre_28_27_26 BOOLEANTYPE_28_27_26) (= true_term (is BOOLEANTYPE_28_27_26 T_int)) (= owner_pre_4_35_28 owner_4_35_28) (= owner_4_35_28 (asField owner_4_35_28 T_java_lang_Object)) (< (fClosedTime owner_4_35_28) alloc) (= methodSeq_pre_48_167_38 methodSeq_48_167_38) (= methodSeq_48_167_38 (asField methodSeq_48_167_38 T_javafe_util_StackVector)) (< (fClosedTime methodSeq_48_167_38) alloc) (forall ((?s_82_ Int)) (=> (not (= ?s_82_ null)) (not (= (S_select methodSeq_48_167_38 ?s_82_) null)))) (= returnType_pre_33_18_28 returnType_33_18_28) (= returnType_33_18_28 (asField returnType_33_18_28 T_javafe_ast_Type)) (< (fClosedTime returnType_33_18_28) alloc) (forall ((?s_83_ Int)) (=> (not (= ?s_83_ null)) (not (= (S_select returnType_33_18_28 ?s_83_) null)))) (= loc_pre_121_30_13 loc_121_30_13) (= loc_121_30_13 (asField loc_121_30_13 T_int)) (= LE_pre_29_28_26 LE_29_28_26) (= true_term (is LE_29_28_26 T_int)) (= CONTINUESTMT_pre_30_36_7 CONTINUESTMT_30_36_7) (= true_term (is CONTINUESTMT_30_36_7 T_int)) (= loc_pre_78_18_13 loc_78_18_13) (= loc_78_18_13 (asField loc_78_18_13 T_int)) (= punctuationCodes_pre_27_164_19 punctuationCodes_27_164_19) (= true_term (is punctuationCodes_27_164_19 ?v_0)) (= true_term (isAllocated punctuationCodes_27_164_19 alloc)) (= elems_pre_88_18_34 elems_88_18_34) (= elems_88_18_34 (asField elems_88_18_34 T_javafe_ast_VarInitVec)) (< (fClosedTime elems_88_18_34) alloc) (forall ((?s_84_ Int)) (=> (not (= ?s_84_ null)) (not (= (S_select elems_88_18_34 ?s_84_) null)))) (= DEC_pre_29_59_26 DEC_29_59_26) (= true_term (is DEC_29_59_26 T_int)) (= rootSEnv_pre_54_45 rootSEnv_54_45) (= rootSEnv_54_45 (asField rootSEnv_54_45 T_javafe_tc_EnvForTypeSig)) (< (fClosedTime rootSEnv_54_45) alloc) (= count_pre_16_67_33 count_16_67_33) (= count_16_67_33 (asField count_16_67_33 T_int)) (= locFirstSemi_pre_74_36_13 locFirstSemi_74_36_13) (= locFirstSemi_74_36_13 (asField locFirstSemi_74_36_13 T_int)) (= SUPEROBJECTDESIGNATOR_pre_30_63_7 SUPEROBJECTDESIGNATOR_30_63_7) (= true_term (is SUPEROBJECTDESIGNATOR_30_63_7 T_int)) (= IDENT_pre_28_25_26 IDENT_28_25_26) (= true_term (is IDENT_28_25_26 T_int)) (= parent_pre_18_59_18 parent_18_59_18) (= parent_18_59_18 (asField parent_18_59_18 T_javafe_ast_TypeDecl)) (< (fClosedTime parent_18_59_18) alloc) (= elements_pre_47_61_43 elements_47_61_43) (= elements_47_61_43 (asField elements_47_61_43 (array T_javafe_ast_FormalParaDecl))) (< (fClosedTime elements_47_61_43) alloc) (forall ((?s_85_ Int)) (=> (not (= ?s_85_ null)) (not (= (S_select elements_47_61_43 ?s_85_) null)))) (= branchDecoration_pre_1898_31 branchDecoration_1898_31) (= true_term (is branchDecoration_1898_31 T_javafe_ast_ASTDecoration)) (= true_term (isAllocated branchDecoration_1898_31 alloc)) (= decl_pre_42_54_25 decl_42_54_25) (= decl_42_54_25 (asField decl_42_54_25 T_javafe_ast_ConstructorDecl)) (< (fClosedTime decl_42_54_25) alloc) (= locId_pre_73_20_13 locId_73_20_13) (= locId_73_20_13 (asField locId_73_20_13 T_int)) (= name_pre_46_18_28 name_46_18_28) (= name_46_18_28 (asField name_46_18_28 T_javafe_ast_Name)) (< (fClosedTime name_46_18_28) alloc) (forall ((?s_86_ Int)) (=> (not (= ?s_86_ null)) (not (= (S_select name_46_18_28 ?s_86_) null)))) (= count_pre_21_67_33 count_21_67_33) (= count_21_67_33 (asField count_21_67_33 T_int)) (= GT_pre_29_27_26 GT_29_27_26) (= true_term (is GT_29_27_26 T_int)) (= decl_pre_54_15_36 decl_54_15_36) (= decl_54_15_36 (asField decl_54_15_36 T_javafe_ast_LocalVarDecl)) (< (fClosedTime decl_54_15_36) alloc) (forall ((?s_87_ Int)) (=> (not (= ?s_87_ null)) (not (= (S_select decl_54_15_36 ?s_87_) null)))) (= elementType_pre_155_22_27 elementType_155_22_27) (= elementType_155_22_27 (asField elementType_155_22_27 T__TYPE)) (= elements_pre_15_61_36 elements_15_61_36) (= elements_15_61_36 (asField elements_15_61_36 (array T_javafe_tc_TypeSig))) (< (fClosedTime elements_15_61_36) alloc) (forall ((?s_88_ Int)) (=> (not (= ?s_88_ null)) (not (= (S_select elements_15_61_36 ?s_88_) null)))) (= BREAKSTMT_pre_30_35_7 BREAKSTMT_30_35_7) (= true_term (is BREAKSTMT_30_35_7 T_int)) (= INC_pre_29_58_26 INC_29_58_26) (= true_term (is INC_29_58_26 T_int)) (= init_pre_99_35_19 init_99_35_19) (= init_99_35_19 (asField init_99_35_19 T_javafe_ast_ArrayInit)) (< (fClosedTime init_99_35_19) alloc) (= byteType_pre_38_135_4 byteType_38_135_4) ?v_15 (= true_term (isAllocated byteType_38_135_4 alloc)) (= args_pre_42_51_31 args_42_51_31) (= args_42_51_31 (asField args_42_51_31 T_javafe_ast_ExprVec)) (< (fClosedTime args_42_51_31) alloc) (forall ((?s_89_ Int)) (=> (not (= ?s_89_ null)) (not (= (S_select args_42_51_31 ?s_89_) null)))) (= TYPEOBJECTDESIGNATOR_pre_30_62_7 TYPEOBJECTDESIGNATOR_30_62_7) (= true_term (is TYPEOBJECTDESIGNATOR_30_62_7 T_int)) (= sigDecoration_pre_5_104_38 sigDecoration_5_104_38) (= true_term (is sigDecoration_5_104_38 T_javafe_ast_ASTDecoration)) (= true_term (isAllocated sigDecoration_5_104_38 alloc)) (= expr_pre_79_15_28 expr_79_15_28) (= expr_79_15_28 (asField expr_79_15_28 T_javafe_ast_Expr)) (< (fClosedTime expr_79_15_28) alloc) (forall ((?s_90_ Int)) (=> (not (= ?s_90_ null)) (not (= (S_select expr_79_15_28 ?s_90_) null)))) (= value_pre_124_45_16 value_124_45_16) (= value_124_45_16 (asField value_124_45_16 T_java_lang_Object)) (< (fClosedTime value_124_45_16) alloc) (= elementCount_pre_153_79_33 elementCount_153_79_33) (= elementCount_153_79_33 (asField elementCount_153_79_33 T_int)) (= locOpenParen_pre_80_23_13 locOpenParen_80_23_13) (= locOpenParen_80_23_13 (asField locOpenParen_80_23_13 T_int)) (= modifiers_pre_51_24_13 modifiers_51_24_13) (= modifiers_51_24_13 (asField modifiers_51_24_13 T_int)) (= elementType_pre_153_43_27 elementType_153_43_27) (= elementType_153_43_27 (asField elementType_153_43_27 T__TYPE)) (= locId_pre_23_38_13 locId_23_38_13) (= locId_23_38_13 (asField locId_23_38_13 T_int)) (= decl_pre_112_26_38 decl_112_26_38) (= decl_112_26_38 (asField decl_112_26_38 T_javafe_ast_GenericVarDecl)) (< (fClosedTime decl_112_26_38) alloc) (forall ((?s_91_ Int)) (=> (not (= ?s_91_ null)) (not (= (S_select decl_112_26_38 ?s_91_) null)))) (= GE_pre_29_26_26 GE_29_26_26) (= true_term (is GE_29_26_26 T_int)) (= voidType_pre_38_103_4 voidType_38_103_4) (= true_term (is voidType_38_103_4 T_javafe_ast_PrimitiveType)) (= true_term (isAllocated voidType_38_103_4 alloc)) (= keywordStrings_pre_27_181_30 keywordStrings_27_181_30) (= true_term (is keywordStrings_27_181_30 ?v_1)) (= true_term (isAllocated keywordStrings_27_181_30 alloc)) (= myTypeDecl_pre_5_63_40 myTypeDecl_5_63_40) (= myTypeDecl_5_63_40 (asField myTypeDecl_5_63_40 T_javafe_ast_TypeDecl)) (< (fClosedTime myTypeDecl_5_63_40) alloc) (= THROWSTMT_pre_30_34_7 THROWSTMT_30_34_7) (= true_term (is THROWSTMT_30_34_7 T_int)) (= NULL_pre_44_60_26 NULL_44_60_26) (= true_term (is NULL_44_60_26 T_int)) (= parent_pre_51_22_18 parent_51_22_18) (= parent_51_22_18 (asField parent_51_22_18 T_javafe_ast_TypeDecl)) (< (fClosedTime parent_51_22_18) alloc) (= count_pre_84_67_33 count_84_67_33) (= count_84_67_33 (asField count_84_67_33 T_int)) (= rootIEnv_pre_51_45 rootIEnv_51_45) (= rootIEnv_51_45 (asField rootIEnv_51_45 T_javafe_tc_EnvForTypeSig)) (< (fClosedTime rootIEnv_51_45) alloc) (= BITNOT_pre_29_57_26 BITNOT_29_57_26) (= true_term (is BITNOT_29_57_26 T_int)) (= loc_pre_32_40_13 loc_32_40_13) (= loc_32_40_13 (asField loc_32_40_13 T_int)) (= EXPROBJECTDESIGNATOR_pre_30_61_7 EXPROBJECTDESIGNATOR_30_61_7) (= true_term (is EXPROBJECTDESIGNATOR_30_61_7 T_int)) (= elems_pre elems) (= elems (asElems elems)) (< (eClosedTime elems) alloc) (= LS (asLockSet LS)) (= alloc_pre alloc)) (not (and (= true_term (is this T_javafe_tc_FlowInsensitiveChecks)) (= true_term (isAllocated this alloc)) (not (= this null)) (= true_term (is leftExpr_1535_39 T_javafe_ast_Expr)) (= true_term (isAllocated leftExpr_1535_39 alloc)) (= true_term (is rightExpr_1535_54 T_javafe_ast_Expr)) (= true_term (isAllocated rightExpr_1535_54 alloc)) ?v_2 ?v_4 ?v_12 ?v_17 ?v_34 ?v_62 (or ?v_59 (and ?v_2 ?v_70 ?v_71 ?v_3 ?v_72 (or ?v_39 (and ?v_4 ?v_73 ?v_74 ?v_5 ?v_75 (or (not (and ?v_6 ?v_7)) (and ?v_76 ?v_8 ?v_77 ?v_78 ?v_11 ?v_79 ?v_80 ?v_81 (or (and ?v_61 ?v_11 (or ?v_26 (and ?v_82 ?v_13 ?v_83 (or (and ?v_16 ?v_51) (and ?v_84 ?v_86 ?v_11 (or ?v_47 (and ?v_87 ?v_21 ?v_88 (or (and ?v_23 ?v_30) (and ?v_89 ?v_92 ?v_11 (or ?v_26 (and ?v_93 ?v_27 ?v_94 (or (and ?v_31 ?v_30) (and ?v_95 (or (and ?v_36 (not (and ?v_6 ?v_34))) (and ?v_96 (or (and ?v_41 (or ?v_39 (and ?v_4 ?v_42 ?v_43 ?v_44 (or (not ?v_40) (and ?v_40 ?v_45 (not ?v_46)))))) (and ?v_97 ?v_99 ?v_11 (or ?v_47 (and ?v_100 ?v_48 ?v_101 (or (and ?v_52 ?v_51) (and ?v_102 (or (and ?v_56 (not (and ?v_7 ?v_34))) (and ?v_103 ?v_104 (or ?v_59 (and ?v_2 ?v_105 ?v_106 ?v_107 (or (not ?v_60) (and ?v_60 ?v_108 (not ?v_109)))))))))))))))))))))))))))) (and ?v_112 ?v_11 (or (not (and ?v_6 ?v_62)) (and ?v_113 ?v_63 ?v_114 ?v_115 ?v_117 ?v_11 (or (not (and ?v_7 ?v_62)) (and ?v_118 ?v_66 ?v_119 ?v_120 ?v_122 ?v_11 ?v_123 ?v_124 ?v_125 ?v_126 ?v_11 (or (not (and ?v_2 ?v_7)) (and ?v_127 ?v_128 ?v_130 ?v_11 (not (and ?v_4 ?v_6))))))))))))))) (and ?v_2 ?v_70 ?v_71 ?v_3 ?v_72 ?v_4 ?v_73 ?v_74 ?v_5 ?v_75 ?v_76 ?v_8 ?v_77 (or (and ?v_9 ?v_11 ?v_11) (and ?v_78 ?v_11 ?v_79 ?v_80 ?v_81 (or (and ?v_61 ?v_11 ?v_82 ?v_13 ?v_83 ?v_84 (or (and ?v_85 ?v_11 ?v_11 ?v_91) (and ?v_86 ?v_11 ?v_87 ?v_21 ?v_88 ?v_89 (or (and ?v_90 ?v_11 ?v_11 ?v_91) (and ?v_92 ?v_11 ?v_93 ?v_27 ?v_94 ?v_95 ?v_96 ?v_97 (or (and ?v_98 ?v_11 ?v_11 (= RES_11_ RES_1536_24_1536_24)) (and ?v_99 ?v_11 ?v_100 ?v_48 ?v_101 ?v_102 ?v_103 (or (and ?v_104 ?v_2 ?v_105 ?v_106 ?v_107 ?v_60 ?v_108 ?v_109 (= true_term (is RES_1581_2_1581_2 T_boolean)) (= EC_1581_2_1581_2 ecReturn) (= RES_12_ RES_1581_2_1581_2) (= EC_11_ EC_1581_2_1581_2) (= tmp15_cand_1580_2 RES_1581_2_1581_2)) (and (not ?v_104) ?v_11 (= RES_12_ RES_7_) (= EC_11_ EC_7_) (= tmp15_cand_1580_2 false_term))) (or (and ?v_110 ?v_11 ?v_11 (= RES_11_ RES_1537_18_1537_18)) (and (not ?v_110) ?v_11 (= true_term (is RES_1591_18_1591_18 T_javafe_ast_Type)) (= true_term (isAllocated RES_1591_18_1591_18 alloc)) ?v_111 (=> ?v_111 (not (= RES_1591_18_1591_18 null))) ?v_11 (= RES_11_ RES_1591_18_1591_18)))))))))) (and ?v_112 ?v_11 ?v_113 ?v_63 ?v_114 ?v_115 (or (and ?v_116 ?v_11 ?v_11) (and ?v_117 ?v_11 ?v_118 ?v_66 ?v_119 ?v_120 (or (and ?v_121 ?v_11 ?v_11) (and ?v_122 ?v_11 ?v_123 ?v_124 ?v_125 (or (and ?v_126 ?v_11 ?v_127 ?v_128 (or (and ?v_129 ?v_11 ?v_11) (and ?v_130 ?v_11 ?v_131 ?v_132 ?v_133 ?v_11 ?v_11))) (and (or (and ?v_126 ?v_11 ?v_127 ?v_128 ?v_130 ?v_11 ?v_131 ?v_132 (not ?v_133) ?v_11 (= EC_12_ EC_1619_9_1619_9)) (and (not ?v_126) ?v_11 (= EC_12_ EC_10_))) ?v_11)))))))))) (not (= ecReturn ecReturn))))))))))))))))))))))))))))))))))))))))))
+(check-sat)
+(exit)
diff --git a/test/regress/regress2/quantifiers/nunchaku2309663.nun.min.smt2 b/test/regress/regress2/quantifiers/nunchaku2309663.nun.min.smt2
new file mode 100644
index 000000000..9c8bc1d3e
--- /dev/null
+++ b/test/regress/regress2/quantifiers/nunchaku2309663.nun.min.smt2
@@ -0,0 +1,79 @@
+; EXPECT: unsat
+; COMMAND-LINE: --finite-model-find --lang=smt2.5
+(set-logic ALL_SUPPORTED)
+(set-info :status unsat)
+(declare-datatypes () ((nat__ (Suc__ (_select_Suc___0 nat__)) (zero__ ))))
+(declare-sort a__ 0)
+(declare-fun __nun_card_witness_0_ () a__)
+(declare-datatypes ()
+ ((tree__
+ (MKT__ (_select_MKT___0 a__) (_select_MKT___1 tree__)
+ (_select_MKT___2 tree__) (_select_MKT___3 nat__))
+ (ET__ ))))
+(declare-sort G_plus__ 0)
+(declare-fun __nun_card_witness_1_ () G_plus__)
+(declare-fun plus__ (nat__ nat__) nat__)
+(declare-fun proj_G_plus__0_ (G_plus__) nat__)
+(declare-fun proj_G_plus__1_ (G_plus__) nat__)
+
+(declare-sort G_less__eq__ 0)
+(declare-fun __nun_card_witness_2_ () G_less__eq__)
+(declare-fun less__eq__ (nat__ nat__) Bool)
+(declare-fun proj_G_less__eq__0_ (G_less__eq__) nat__)
+(declare-fun proj_G_less__eq__1_ (G_less__eq__) nat__)
+
+(declare-sort G_max__ 0)
+(declare-fun __nun_card_witness_3_ () G_max__)
+(declare-fun max__ (nat__ nat__) nat__)
+(declare-fun proj_G_max__0_ (G_max__) nat__)
+(declare-fun proj_G_max__1_ (G_max__) nat__)
+
+(declare-sort G_one__ 0)
+(declare-fun __nun_card_witness_4_ () G_one__)
+(declare-fun one__ () nat__)
+(assert (forall ((a/295 G_one__)) (= one__ (Suc__ zero__))))
+(declare-sort G_height__ 0)
+(declare-fun __nun_card_witness_5_ () G_height__)
+(declare-fun height__ (tree__) nat__)
+(declare-fun proj_G_height__0_ (G_height__) tree__)
+
+(declare-sort G_avl__ 0)
+(declare-fun __nun_card_witness_6_ () G_avl__)
+(declare-fun avl__ (tree__) Bool)
+(declare-fun proj_G_avl__0_ (G_avl__) tree__)
+
+(declare-fun l__ () tree__)
+(declare-fun r__ () tree__)
+(declare-sort G_minus__ 0)
+(declare-fun __nun_card_witness_7_ () G_minus__)
+(declare-fun minus__ (Bool Bool) Bool)
+(declare-fun proj_G_minus__0_ (G_minus__) Bool)
+(declare-fun proj_G_minus__1_ (G_minus__) Bool)
+
+(declare-sort G_ht__ 0)
+(declare-fun __nun_card_witness_8_ () G_ht__)
+(declare-fun ht__ (tree__) nat__)
+(declare-fun proj_G_ht__0_ (G_ht__) tree__)
+
+(declare-sort G_mkt__ 0)
+(declare-fun __nun_card_witness_9_ () G_mkt__)
+(declare-fun mkt__ (a__ tree__ tree__) tree__)
+(declare-fun proj_G_mkt__0_ (G_mkt__) a__)
+(declare-fun proj_G_mkt__1_ (G_mkt__) tree__)
+(declare-fun proj_G_mkt__2_ (G_mkt__) tree__)
+
+(declare-fun x__ () a__)
+
+(assert (and
+(forall ((a/334 G_avl__)) (and (= (avl__ (proj_G_avl__0_ a/334)) (=> (is-MKT__ (proj_G_avl__0_ a/334)) (and (or (= (height__ (_select_MKT___1 (proj_G_avl__0_ a/334))) (height__ (_select_MKT___2 (proj_G_avl__0_ a/334)))) (= (height__ (_select_MKT___1 (proj_G_avl__0_ a/334))) (plus__ (height__ (_select_MKT___2 (proj_G_avl__0_ a/334))) one__)) (= (height__ (_select_MKT___2 (proj_G_avl__0_ a/334))) (plus__ (height__ (_select_MKT___1 (proj_G_avl__0_ a/334))) one__))) (= (_select_MKT___3 (proj_G_avl__0_ a/334)) (plus__ (max__ (height__ (_select_MKT___1 (proj_G_avl__0_ a/334))) (height__ (_select_MKT___2 (proj_G_avl__0_ a/334)))) one__)) (avl__ (_select_MKT___1 (proj_G_avl__0_ a/334))) (avl__ (_select_MKT___2 (proj_G_avl__0_ a/334)))))) (exists ((a/602 G_avl__)) (= (_select_MKT___2 (proj_G_avl__0_ a/334)) (proj_G_avl__0_ a/602)) ) (exists ((a/601 G_avl__)) (= (_select_MKT___1 (proj_G_avl__0_ a/334)) (proj_G_avl__0_ a/601)) ) (exists ((a/592 G_max__)) (and (= (height__ (_select_MKT___2 (proj_G_avl__0_ a/334))) (proj_G_max__1_ a/592)) (exists ((a/595 G_height__)) (= (_select_MKT___2 (proj_G_avl__0_ a/334)) (proj_G_height__0_ a/595)) ) (= (height__ (_select_MKT___1 (proj_G_avl__0_ a/334))) (proj_G_max__0_ a/592)) (exists ((a/596 G_height__)) (= (_select_MKT___1 (proj_G_avl__0_ a/334)) (proj_G_height__0_ a/596)) )) ) (exists ((a/600 G_height__)) (= (_select_MKT___2 (proj_G_avl__0_ a/334)) (proj_G_height__0_ a/600)) ) (exists ((a/599 G_height__)) (= (_select_MKT___1 (proj_G_avl__0_ a/334)) (proj_G_height__0_ a/599)) ) (exists ((a/564 G_plus__)) (and (= one__ (proj_G_plus__1_ a/564)) (= (max__ (height__ (_select_MKT___1 (proj_G_avl__0_ a/334))) (height__ (_select_MKT___2 (proj_G_avl__0_ a/334)))) (proj_G_plus__0_ a/564)) (exists ((a/581 G_height__)) (= (_select_MKT___1 (proj_G_avl__0_ a/334)) (proj_G_height__0_ a/581)) ) (exists ((a/582 G_height__)) (= (_select_MKT___2 (proj_G_avl__0_ a/334)) (proj_G_height__0_ a/582)) ) (exists ((a/574 G_max__)) (and (= (height__ (_select_MKT___2 (proj_G_avl__0_ a/334))) (proj_G_max__1_ a/574)) (exists ((a/577 G_height__)) (= (_select_MKT___2 (proj_G_avl__0_ a/334)) (proj_G_height__0_ a/577)) ) (= (height__ (_select_MKT___1 (proj_G_avl__0_ a/334))) (proj_G_max__0_ a/574)) (exists ((a/578 G_height__)) (= (_select_MKT___1 (proj_G_avl__0_ a/334)) (proj_G_height__0_ a/578)) )) )) ) (exists ((a/551 G_height__)) (= (_select_MKT___1 (proj_G_avl__0_ a/334)) (proj_G_height__0_ a/551)) ) (exists ((a/550 G_height__)) (= (_select_MKT___2 (proj_G_avl__0_ a/334)) (proj_G_height__0_ a/550)) ) (exists ((a/557 G_height__)) (= (_select_MKT___1 (proj_G_avl__0_ a/334)) (proj_G_height__0_ a/557)) ) (exists ((a/552 G_plus__)) (and (= one__ (proj_G_plus__1_ a/552)) (= (height__ (_select_MKT___2 (proj_G_avl__0_ a/334))) (proj_G_plus__0_ a/552)) (exists ((a/554 G_height__)) (= (_select_MKT___2 (proj_G_avl__0_ a/334)) (proj_G_height__0_ a/554)) )) ) (exists ((a/556 G_height__)) (= (_select_MKT___2 (proj_G_avl__0_ a/334)) (proj_G_height__0_ a/556)) ) (exists ((a/563 G_height__)) (= (_select_MKT___2 (proj_G_avl__0_ a/334)) (proj_G_height__0_ a/563)) ) (exists ((a/558 G_plus__)) (and (= one__ (proj_G_plus__1_ a/558)) (= (height__ (_select_MKT___1 (proj_G_avl__0_ a/334))) (proj_G_plus__0_ a/558)) (exists ((a/560 G_height__)) (= (_select_MKT___1 (proj_G_avl__0_ a/334)) (proj_G_height__0_ a/560)) )) ) (exists ((a/562 G_height__)) (= (_select_MKT___1 (proj_G_avl__0_ a/334)) (proj_G_height__0_ a/562)) )) )
+(forall ((a/603 G_minus__)) (= (minus__ (proj_G_minus__0_ a/603) (proj_G_minus__1_ a/603)) (ite (proj_G_minus__0_ a/603) (ite (proj_G_minus__1_ a/603) (and (proj_G_minus__0_ a/603) (not (proj_G_minus__1_ a/603))) (and (proj_G_minus__0_ a/603) (not (proj_G_minus__1_ a/603)))) (ite (proj_G_minus__1_ a/603) (and (proj_G_minus__0_ a/603) (not (proj_G_minus__1_ a/603))) (and (proj_G_minus__0_ a/603) (not (proj_G_minus__1_ a/603)))))) )
+(forall ((a/296 G_height__)) (and (= (height__ (proj_G_height__0_ a/296)) (ite (is-MKT__ (proj_G_height__0_ a/296)) (plus__ (max__ (height__ (_select_MKT___1 (proj_G_height__0_ a/296))) (height__ (_select_MKT___2 (proj_G_height__0_ a/296)))) one__) zero__)) (=> (is-MKT__ (proj_G_height__0_ a/296)) (and (exists ((a/297 G_plus__)) (and (= one__ (proj_G_plus__1_ a/297)) (= (max__ (height__ (_select_MKT___1 (proj_G_height__0_ a/296))) (height__ (_select_MKT___2 (proj_G_height__0_ a/296)))) (proj_G_plus__0_ a/297)) (exists ((a/314 G_height__)) (= (_select_MKT___1 (proj_G_height__0_ a/296)) (proj_G_height__0_ a/314)) ) (exists ((a/315 G_height__)) (= (_select_MKT___2 (proj_G_height__0_ a/296)) (proj_G_height__0_ a/315)) ) (exists ((a/307 G_max__)) (and (= (height__ (_select_MKT___2 (proj_G_height__0_ a/296))) (proj_G_max__1_ a/307)) (exists ((a/310 G_height__)) (= (_select_MKT___2 (proj_G_height__0_ a/296)) (proj_G_height__0_ a/310)) ) (= (height__ (_select_MKT___1 (proj_G_height__0_ a/296))) (proj_G_max__0_ a/307)) (exists ((a/311 G_height__)) (= (_select_MKT___1 (proj_G_height__0_ a/296)) (proj_G_height__0_ a/311)) )) )) ) (exists ((a/332 G_height__)) (= (_select_MKT___1 (proj_G_height__0_ a/296)) (proj_G_height__0_ a/332)) ) (exists ((a/333 G_height__)) (= (_select_MKT___2 (proj_G_height__0_ a/296)) (proj_G_height__0_ a/333)) ) (exists ((a/325 G_max__)) (and (= (height__ (_select_MKT___2 (proj_G_height__0_ a/296))) (proj_G_max__1_ a/325)) (exists ((a/328 G_height__)) (= (_select_MKT___2 (proj_G_height__0_ a/296)) (proj_G_height__0_ a/328)) ) (= (height__ (_select_MKT___1 (proj_G_height__0_ a/296))) (proj_G_max__0_ a/325)) (exists ((a/329 G_height__)) (= (_select_MKT___1 (proj_G_height__0_ a/296)) (proj_G_height__0_ a/329)) )) )))) )
+(forall ((a/604 G_ht__)) (= (ht__ (proj_G_ht__0_ a/604)) (ite (is-MKT__ (proj_G_ht__0_ a/604)) (_select_MKT___3 (proj_G_ht__0_ a/604)) zero__)) )
+
+(not (=> (and (avl__ l__) (exists ((a/1961 G_avl__)) (= l__ (proj_G_avl__0_ a/1961)) )) (=> (and (avl__ r__) (exists ((a/2175 G_avl__)) (= r__ (proj_G_avl__0_ a/2175)) )) (=> (or (and (= (height__ l__) (height__ r__)) (exists ((a/2334 G_height__)) (= l__ (proj_G_height__0_ a/2334)) ) (exists ((a/2333 G_height__)) (= r__ (proj_G_height__0_ a/2333)) )) (and (minus__ (= (height__ l__) (plus__ (height__ r__) one__)) (= (height__ r__) (plus__ (height__ l__) one__))) (exists ((a/2382 G_height__)) (= l__ (proj_G_height__0_ a/2382)) ) (exists ((a/2378 G_plus__)) (and (= one__ (proj_G_plus__1_ a/2378)) (= (height__ l__) (proj_G_plus__0_ a/2378)) (exists ((a/2380 G_height__)) (= l__ (proj_G_height__0_ a/2380)) )) ) (exists ((a/2383 G_height__)) (= r__ (proj_G_height__0_ a/2383)) ) (exists ((a/2376 G_height__)) (= r__ (proj_G_height__0_ a/2376)) ) (exists ((a/2372 G_plus__)) (and (= one__ (proj_G_plus__1_ a/2372)) (= (height__ r__) (proj_G_plus__0_ a/2372)) (exists ((a/2374 G_height__)) (= r__ (proj_G_height__0_ a/2374)) )) ) (exists ((a/2377 G_height__)) (= l__ (proj_G_height__0_ a/2377)) ) (exists ((a/2335 G_minus__)) (and (= (= (height__ r__) (plus__ (height__ l__) one__)) (proj_G_minus__1_ a/2335)) (exists ((a/2352 G_height__)) (= l__ (proj_G_height__0_ a/2352)) ) (exists ((a/2348 G_plus__)) (and (= one__ (proj_G_plus__1_ a/2348)) (= (height__ l__) (proj_G_plus__0_ a/2348)) (exists ((a/2350 G_height__)) (= l__ (proj_G_height__0_ a/2350)) )) ) (exists ((a/2353 G_height__)) (= r__ (proj_G_height__0_ a/2353)) ) (= (= (height__ l__) (plus__ (height__ r__) one__)) (proj_G_minus__0_ a/2335)) (exists ((a/2358 G_height__)) (= r__ (proj_G_height__0_ a/2358)) ) (exists ((a/2354 G_plus__)) (and (= one__ (proj_G_plus__1_ a/2354)) (= (height__ r__) (proj_G_plus__0_ a/2354)) (exists ((a/2356 G_height__)) (= r__ (proj_G_height__0_ a/2356)) )) ) (exists ((a/2359 G_height__)) (= l__ (proj_G_height__0_ a/2359)) )) ))) (=> (exists ((a/2384 G_avl__)) (and (= (mkt__ x__ l__ r__) (proj_G_avl__0_ a/2384)) (exists ((a/2385 G_mkt__)) (and (= r__ (proj_G_mkt__2_ a/2385)) (= l__ (proj_G_mkt__1_ a/2385)) (= x__ (proj_G_mkt__0_ a/2385))) )) ) (=> (exists ((a/2387 G_mkt__)) (and (= r__ (proj_G_mkt__2_ a/2387)) (= l__ (proj_G_mkt__1_ a/2387)) (= x__ (proj_G_mkt__0_ a/2387))) ) (avl__ (mkt__ x__ l__ r__))))))))
+(forall ((a/605 G_mkt__)) (and (= (mkt__ (proj_G_mkt__0_ a/605) (proj_G_mkt__1_ a/605) (proj_G_mkt__2_ a/605)) (MKT__ (proj_G_mkt__0_ a/605) (proj_G_mkt__1_ a/605) (proj_G_mkt__2_ a/605) (plus__ (max__ (ht__ (proj_G_mkt__1_ a/605)) (ht__ (proj_G_mkt__2_ a/605))) one__))) (exists ((a/671 G_max__)) (and (= (ht__ (proj_G_mkt__2_ a/605)) (proj_G_max__1_ a/671)) (exists ((a/674 G_ht__)) (= (proj_G_mkt__2_ a/605) (proj_G_ht__0_ a/674)) ) (= (ht__ (proj_G_mkt__1_ a/605)) (proj_G_max__0_ a/671)) (exists ((a/675 G_ht__)) (= (proj_G_mkt__1_ a/605) (proj_G_ht__0_ a/675)) )) ) (exists ((a/679 G_ht__)) (= (proj_G_mkt__2_ a/605) (proj_G_ht__0_ a/679)) ) (exists ((a/678 G_ht__)) (= (proj_G_mkt__1_ a/605) (proj_G_ht__0_ a/678)) ) (exists ((a/643 G_plus__)) (and (= one__ (proj_G_plus__1_ a/643)) (= (max__ (ht__ (proj_G_mkt__1_ a/605)) (ht__ (proj_G_mkt__2_ a/605))) (proj_G_plus__0_ a/643)) (exists ((a/660 G_ht__)) (= (proj_G_mkt__1_ a/605) (proj_G_ht__0_ a/660)) ) (exists ((a/661 G_ht__)) (= (proj_G_mkt__2_ a/605) (proj_G_ht__0_ a/661)) ) (exists ((a/653 G_max__)) (and (= (ht__ (proj_G_mkt__2_ a/605)) (proj_G_max__1_ a/653)) (exists ((a/656 G_ht__)) (= (proj_G_mkt__2_ a/605) (proj_G_ht__0_ a/656)) ) (= (ht__ (proj_G_mkt__1_ a/605)) (proj_G_max__0_ a/653)) (exists ((a/657 G_ht__)) (= (proj_G_mkt__1_ a/605) (proj_G_ht__0_ a/657)) )) )) )) )
+(forall ((a/295 G_one__)) (= one__ (Suc__ zero__)) )
+
+))
+
+(check-sat)
diff --git a/test/regress/regress2/quantifiers/small-bug1-fixpoint-3.smt2 b/test/regress/regress2/quantifiers/small-bug1-fixpoint-3.smt2
new file mode 100644
index 000000000..c26cde173
--- /dev/null
+++ b/test/regress/regress2/quantifiers/small-bug1-fixpoint-3.smt2
@@ -0,0 +1,16 @@
+; COMMAND-LINE: --cbqi-all --no-check-models
+; EXPECT: sat
+;AJR:BROKEN
+(set-logic UFBV)
+(set-info :status sat)
+(declare-fun Verilog__main.impl_PC_valid_64_1_39_!3 (Bool Bool Bool Bool Bool Bool Bool Bool Bool Bool Bool) Bool)
+(declare-fun Verilog__main.impl_flush_64_1_39_!1 (Bool Bool Bool Bool Bool Bool Bool Bool Bool Bool Bool) Bool)
+(declare-fun Verilog__main.reset_64_0_39_!4 (Bool Bool Bool Bool Bool Bool Bool Bool Bool Bool Bool) Bool)
+(declare-fun Verilog__main.impl_PC_valid_64_2_39_!6 (Bool Bool Bool Bool Bool Bool Bool Bool Bool Bool Bool) Bool)
+(declare-fun Verilog__main.impl_flush_64_0_39_!0 (Bool Bool Bool Bool Bool Bool Bool Bool Bool Bool Bool) Bool)
+(declare-fun Verilog__main.reset_64_1_39_!7 (Bool Bool Bool Bool Bool Bool Bool Bool Bool Bool Bool) Bool)
+(declare-fun Verilog__main.impl_PC_valid_64_0_39_!5 (Bool Bool Bool Bool Bool Bool Bool Bool Bool Bool Bool) Bool)
+(declare-fun Verilog__main.impl_flush_64_2_39_!2 (Bool Bool Bool Bool Bool Bool Bool Bool Bool Bool Bool) Bool)
+(assert (forall ((Verilog__main.impl_flush_64_0 Bool) (Verilog__main.impl_flush_64_1 Bool) (Verilog__main.impl_flush_64_2 Bool) (Verilog__main.impl_flush_64_3 Bool) (Verilog__main.impl_PC_valid_64_1 Bool) (Verilog__main.reset_64_0 Bool) (Verilog__main.impl_PC_valid_64_0 Bool) (Verilog__main.impl_PC_valid_64_2 Bool) (Verilog__main.reset_64_1 Bool) (Verilog__main.impl_PC_valid_64_3 Bool) (Verilog__main.reset_64_2 Bool)) (=> (and (= Verilog__main.impl_flush_64_0 false) (= Verilog__main.impl_flush_64_1 false) (= Verilog__main.impl_flush_64_2 false) (= Verilog__main.impl_flush_64_3 false) (= Verilog__main.impl_PC_valid_64_1 (ite Verilog__main.reset_64_0 true (ite Verilog__main.impl_flush_64_0 false Verilog__main.impl_PC_valid_64_0))) (= Verilog__main.impl_PC_valid_64_2 (ite Verilog__main.reset_64_1 true (ite Verilog__main.impl_flush_64_1 false Verilog__main.impl_PC_valid_64_1))) (= Verilog__main.impl_PC_valid_64_3 (ite Verilog__main.reset_64_2 true (ite Verilog__main.impl_flush_64_2 false Verilog__main.impl_PC_valid_64_2)))) (and (= (Verilog__main.impl_flush_64_0_39_!0 Verilog__main.reset_64_2 Verilog__main.impl_PC_valid_64_3 Verilog__main.reset_64_1 Verilog__main.impl_PC_valid_64_2 Verilog__main.impl_PC_valid_64_0 Verilog__main.reset_64_0 Verilog__main.impl_PC_valid_64_1 Verilog__main.impl_flush_64_3 Verilog__main.impl_flush_64_2 Verilog__main.impl_flush_64_1 Verilog__main.impl_flush_64_0) false) (= (Verilog__main.impl_flush_64_1_39_!1 Verilog__main.reset_64_2 Verilog__main.impl_PC_valid_64_3 Verilog__main.reset_64_1 Verilog__main.impl_PC_valid_64_2 Verilog__main.impl_PC_valid_64_0 Verilog__main.reset_64_0 Verilog__main.impl_PC_valid_64_1 Verilog__main.impl_flush_64_3 Verilog__main.impl_flush_64_2 Verilog__main.impl_flush_64_1 Verilog__main.impl_flush_64_0) false) (= (Verilog__main.impl_flush_64_2_39_!2 Verilog__main.reset_64_2 Verilog__main.impl_PC_valid_64_3 Verilog__main.reset_64_1 Verilog__main.impl_PC_valid_64_2 Verilog__main.impl_PC_valid_64_0 Verilog__main.reset_64_0 Verilog__main.impl_PC_valid_64_1 Verilog__main.impl_flush_64_3 Verilog__main.impl_flush_64_2 Verilog__main.impl_flush_64_1 Verilog__main.impl_flush_64_0) false) (= (Verilog__main.impl_PC_valid_64_1_39_!3 Verilog__main.reset_64_2 Verilog__main.impl_PC_valid_64_3 Verilog__main.reset_64_1 Verilog__main.impl_PC_valid_64_2 Verilog__main.impl_PC_valid_64_0 Verilog__main.reset_64_0 Verilog__main.impl_PC_valid_64_1 Verilog__main.impl_flush_64_3 Verilog__main.impl_flush_64_2 Verilog__main.impl_flush_64_1 Verilog__main.impl_flush_64_0) (ite (Verilog__main.reset_64_0_39_!4 Verilog__main.reset_64_2 Verilog__main.impl_PC_valid_64_3 Verilog__main.reset_64_1 Verilog__main.impl_PC_valid_64_2 Verilog__main.impl_PC_valid_64_0 Verilog__main.reset_64_0 Verilog__main.impl_PC_valid_64_1 Verilog__main.impl_flush_64_3 Verilog__main.impl_flush_64_2 Verilog__main.impl_flush_64_1 Verilog__main.impl_flush_64_0) true (ite (Verilog__main.impl_flush_64_0_39_!0 Verilog__main.reset_64_2 Verilog__main.impl_PC_valid_64_3 Verilog__main.reset_64_1 Verilog__main.impl_PC_valid_64_2 Verilog__main.impl_PC_valid_64_0 Verilog__main.reset_64_0 Verilog__main.impl_PC_valid_64_1 Verilog__main.impl_flush_64_3 Verilog__main.impl_flush_64_2 Verilog__main.impl_flush_64_1 Verilog__main.impl_flush_64_0) false (Verilog__main.impl_PC_valid_64_0_39_!5 Verilog__main.reset_64_2 Verilog__main.impl_PC_valid_64_3 Verilog__main.reset_64_1 Verilog__main.impl_PC_valid_64_2 Verilog__main.impl_PC_valid_64_0 Verilog__main.reset_64_0 Verilog__main.impl_PC_valid_64_1 Verilog__main.impl_flush_64_3 Verilog__main.impl_flush_64_2 Verilog__main.impl_flush_64_1 Verilog__main.impl_flush_64_0)))) (= (Verilog__main.impl_PC_valid_64_2_39_!6 Verilog__main.reset_64_2 Verilog__main.impl_PC_valid_64_3 Verilog__main.reset_64_1 Verilog__main.impl_PC_valid_64_2 Verilog__main.impl_PC_valid_64_0 Verilog__main.reset_64_0 Verilog__main.impl_PC_valid_64_1 Verilog__main.impl_flush_64_3 Verilog__main.impl_flush_64_2 Verilog__main.impl_flush_64_1 Verilog__main.impl_flush_64_0) (ite (Verilog__main.reset_64_1_39_!7 Verilog__main.reset_64_2 Verilog__main.impl_PC_valid_64_3 Verilog__main.reset_64_1 Verilog__main.impl_PC_valid_64_2 Verilog__main.impl_PC_valid_64_0 Verilog__main.reset_64_0 Verilog__main.impl_PC_valid_64_1 Verilog__main.impl_flush_64_3 Verilog__main.impl_flush_64_2 Verilog__main.impl_flush_64_1 Verilog__main.impl_flush_64_0) true (ite (Verilog__main.impl_flush_64_1_39_!1 Verilog__main.reset_64_2 Verilog__main.impl_PC_valid_64_3 Verilog__main.reset_64_1 Verilog__main.impl_PC_valid_64_2 Verilog__main.impl_PC_valid_64_0 Verilog__main.reset_64_0 Verilog__main.impl_PC_valid_64_1 Verilog__main.impl_flush_64_3 Verilog__main.impl_flush_64_2 Verilog__main.impl_flush_64_1 Verilog__main.impl_flush_64_0) false (Verilog__main.impl_PC_valid_64_1_39_!3 Verilog__main.reset_64_2 Verilog__main.impl_PC_valid_64_3 Verilog__main.reset_64_1 Verilog__main.impl_PC_valid_64_2 Verilog__main.impl_PC_valid_64_0 Verilog__main.reset_64_0 Verilog__main.impl_PC_valid_64_1 Verilog__main.impl_flush_64_3 Verilog__main.impl_flush_64_2 Verilog__main.impl_flush_64_1 Verilog__main.impl_flush_64_0)))) (or (and (= Verilog__main.impl_flush_64_3 (Verilog__main.impl_flush_64_0_39_!0 Verilog__main.reset_64_2 Verilog__main.impl_PC_valid_64_3 Verilog__main.reset_64_1 Verilog__main.impl_PC_valid_64_2 Verilog__main.impl_PC_valid_64_0 Verilog__main.reset_64_0 Verilog__main.impl_PC_valid_64_1 Verilog__main.impl_flush_64_3 Verilog__main.impl_flush_64_2 Verilog__main.impl_flush_64_1 Verilog__main.impl_flush_64_0)) (= Verilog__main.impl_PC_valid_64_3 (Verilog__main.impl_PC_valid_64_0_39_!5 Verilog__main.reset_64_2 Verilog__main.impl_PC_valid_64_3 Verilog__main.reset_64_1 Verilog__main.impl_PC_valid_64_2 Verilog__main.impl_PC_valid_64_0 Verilog__main.reset_64_0 Verilog__main.impl_PC_valid_64_1 Verilog__main.impl_flush_64_3 Verilog__main.impl_flush_64_2 Verilog__main.impl_flush_64_1 Verilog__main.impl_flush_64_0))) (and (= Verilog__main.impl_flush_64_3 (Verilog__main.impl_flush_64_1_39_!1 Verilog__main.reset_64_2 Verilog__main.impl_PC_valid_64_3 Verilog__main.reset_64_1 Verilog__main.impl_PC_valid_64_2 Verilog__main.impl_PC_valid_64_0 Verilog__main.reset_64_0 Verilog__main.impl_PC_valid_64_1 Verilog__main.impl_flush_64_3 Verilog__main.impl_flush_64_2 Verilog__main.impl_flush_64_1 Verilog__main.impl_flush_64_0)) (= Verilog__main.impl_PC_valid_64_3 (Verilog__main.impl_PC_valid_64_1_39_!3 Verilog__main.reset_64_2 Verilog__main.impl_PC_valid_64_3 Verilog__main.reset_64_1 Verilog__main.impl_PC_valid_64_2 Verilog__main.impl_PC_valid_64_0 Verilog__main.reset_64_0 Verilog__main.impl_PC_valid_64_1 Verilog__main.impl_flush_64_3 Verilog__main.impl_flush_64_2 Verilog__main.impl_flush_64_1 Verilog__main.impl_flush_64_0))) (and (= Verilog__main.impl_flush_64_3 (Verilog__main.impl_flush_64_2_39_!2 Verilog__main.reset_64_2 Verilog__main.impl_PC_valid_64_3 Verilog__main.reset_64_1 Verilog__main.impl_PC_valid_64_2 Verilog__main.impl_PC_valid_64_0 Verilog__main.reset_64_0 Verilog__main.impl_PC_valid_64_1 Verilog__main.impl_flush_64_3 Verilog__main.impl_flush_64_2 Verilog__main.impl_flush_64_1 Verilog__main.impl_flush_64_0)) (= Verilog__main.impl_PC_valid_64_3 (Verilog__main.impl_PC_valid_64_2_39_!6 Verilog__main.reset_64_2 Verilog__main.impl_PC_valid_64_3 Verilog__main.reset_64_1 Verilog__main.impl_PC_valid_64_2 Verilog__main.impl_PC_valid_64_0 Verilog__main.reset_64_0 Verilog__main.impl_PC_valid_64_1 Verilog__main.impl_flush_64_3 Verilog__main.impl_flush_64_2 Verilog__main.impl_flush_64_1 Verilog__main.impl_flush_64_0)))))) ))
+(check-sat)
+(exit)
diff --git a/test/regress/regress2/simplify.javafe.ast.ArrayInit.35_without_quantification2.smt2 b/test/regress/regress2/simplify.javafe.ast.ArrayInit.35_without_quantification2.smt2
new file mode 100644
index 000000000..6dc6318a4
--- /dev/null
+++ b/test/regress/regress2/simplify.javafe.ast.ArrayInit.35_without_quantification2.smt2
@@ -0,0 +1,674 @@
+(set-logic QF_UFLIA)
+(set-info :source | Simplify Theorem Prover Benchmark Suite |)
+(set-info :smt-lib-version 2.0)
+(set-info :category "industrial")
+(set-info :status sat)
+(declare-fun true_term () Int)
+(declare-fun false_term () Int)
+(assert (= true_term 1))
+(assert (= false_term 0))
+(declare-fun S_select (Int Int) Int)
+(declare-fun S_store (Int Int Int) Int)
+(declare-fun PO_LT (Int Int) Int)
+(declare-fun T_java_lang_Object () Int)
+(assert (= (PO_LT T_java_lang_Object T_java_lang_Object) true_term))
+(declare-fun T_boolean () Int)
+(declare-fun T_char () Int)
+(declare-fun T_byte () Int)
+(declare-fun T_short () Int)
+(declare-fun T_int () Int)
+(declare-fun T_long () Int)
+(declare-fun T_float () Int)
+(declare-fun T_double () Int)
+(declare-fun asChild (Int Int) Int)
+(declare-fun classDown (Int Int) Int)
+(declare-fun T_java_lang_Cloneable () Int)
+(assert (= (PO_LT T_java_lang_Cloneable T_java_lang_Object) true_term))
+(declare-fun array (Int) Int)
+(declare-fun elemtype (Int) Int)
+(declare-fun is (Int Int) Int)
+(declare-fun cast (Int Int) Int)
+(assert true)
+(declare-fun intFirst () Int)
+(declare-fun intLast () Int)
+(declare-fun longFirst () Int)
+(declare-fun longLast () Int)
+(assert (< longFirst intFirst))
+(assert (< intFirst (- 1000000)))
+(assert (< 1000000 intLast))
+(assert (< intLast longLast))
+(declare-fun null () Int)
+(declare-fun typeof (Int) Int)
+(declare-fun asField (Int Int) Int)
+(declare-fun asElems (Int) Int)
+(declare-fun vAllocTime (Int) Int)
+(declare-fun isAllocated (Int Int) Int)
+(declare-fun fClosedTime (Int) Int)
+(declare-fun eClosedTime (Int) Int)
+(declare-fun asLockSet (Int) Int)
+(declare-fun max (Int) Int)
+(declare-fun lockLE (Int Int) Int)
+(declare-fun lockLT (Int Int) Int)
+(declare-fun arrayLength (Int) Int)
+(declare-fun arrayFresh (Int Int Int Int Int Int Int) Int)
+(declare-fun arrayShapeMore (Int Int) Int)
+(declare-fun arrayParent (Int) Int)
+(declare-fun arrayPosition (Int) Int)
+(declare-fun arrayShapeOne (Int) Int)
+(declare-fun arrayType () Int)
+(assert (= arrayType (asChild arrayType T_java_lang_Object)))
+(declare-fun isNewArray (Int) Int)
+(declare-fun boolAnd (Int Int) Int)
+(declare-fun boolEq (Int Int) Int)
+(declare-fun boolImplies (Int Int) Int)
+(declare-fun boolNE (Int Int) Int)
+(declare-fun boolNot (Int) Int)
+(declare-fun boolOr (Int Int) Int)
+(declare-fun integralEQ (Int Int) Int)
+(declare-fun stringCat (Int Int) Int)
+(declare-fun T_java_lang_String () Int)
+(declare-fun integralGE (Int Int) Int)
+(declare-fun integralGT (Int Int) Int)
+(declare-fun integralLE (Int Int) Int)
+(declare-fun integralLT (Int Int) Int)
+(declare-fun integralNE (Int Int) Int)
+(declare-fun refEQ (Int Int) Int)
+(declare-fun refNE (Int Int) Int)
+(declare-fun nonnullelements (Int Int) Int)
+(declare-fun classLiteral (Int) Int)
+(declare-fun T_java_lang_Class () Int)
+(declare-fun alloc () Int)
+(declare-fun integralAnd (Int Int) Int)
+(declare-fun integralOr (Int Int) Int)
+(declare-fun integralXor (Int Int) Int)
+(declare-fun intShiftL (Int Int) Int)
+(declare-fun longShiftL (Int Int) Int)
+(assert true)
+(declare-fun T_javafe_ast_ConstructorInvocation () Int)
+(declare-fun T_javafe_ast_Stmt () Int)
+(declare-fun T_javafe_ast_VariableAccess () Int)
+(declare-fun T_javafe_ast_Expr () Int)
+(declare-fun T_javafe_ast_ImportDecl () Int)
+(declare-fun T_javafe_ast_ASTNode () Int)
+(declare-fun T_javafe_ast_TryCatchStmt () Int)
+(declare-fun T_javafe_ast_OperatorTags () Int)
+(declare-fun T_javafe_ast_GeneratedTags () Int)
+(declare-fun T_javafe_ast_TagConstants () Int)
+(declare-fun T_javafe_tc_FieldDeclVec () Int)
+(declare-fun T_javafe_ast_BlockStmt () Int)
+(declare-fun T_javafe_ast_GenericBlockStmt () Int)
+(declare-fun T_javafe_ast_RoutineDecl () Int)
+(declare-fun T_javafe_ast_TypeDeclElem () Int)
+(declare-fun T_javafe_ast_VarInit () Int)
+(declare-fun T_javafe_ast_ParenExpr () Int)
+(declare-fun T_javafe_ast_Type () Int)
+(declare-fun T_javafe_ast_EvalStmt () Int)
+(declare-fun T_javafe_ast_TypeObjectDesignator () Int)
+(declare-fun T_javafe_ast_ObjectDesignator () Int)
+(declare-fun T_java_lang_RuntimeException () Int)
+(declare-fun T_java_lang_Exception () Int)
+(declare-fun T_javafe_ast_IfStmt () Int)
+(declare-fun T_javafe_ast_ArrayInit () Int)
+(declare-fun T_java_lang_Throwable () Int)
+(declare-fun T_java_util_Map () Int)
+(declare-fun T_java_util_EscjavaKeyValue () Int)
+(declare-fun T_java_lang_Long () Int)
+(declare-fun T_java_lang_Number () Int)
+(declare-fun T_java_lang_Comparable () Int)
+(declare-fun T_javafe_ast_CondExpr () Int)
+(declare-fun T_javafe_util_Location () Int)
+(declare-fun T_javafe_tc_MethodDeclVec () Int)
+(declare-fun T_javafe_ast_VarDeclStmt () Int)
+(declare-fun T_javafe_ast_MethodDecl () Int)
+(declare-fun T_javafe_ast_TypeName () Int)
+(declare-fun T_javafe_ast_LiteralExpr () Int)
+(declare-fun T_java_io_Serializable () Int)
+(declare-fun T_javafe_ast_ThrowStmt () Int)
+(declare-fun T_java_lang_Boolean () Int)
+(declare-fun T_javafe_ast_SkipStmt () Int)
+(declare-fun T_javafe_ast_LocalVarDecl () Int)
+(declare-fun T_javafe_ast_GenericVarDecl () Int)
+(declare-fun T_javafe_ast_CastExpr () Int)
+(declare-fun T_javafe_ast_WhileStmt () Int)
+(declare-fun T_javafe_ast_TypeDecl () Int)
+(declare-fun T_javafe_ast_MethodInvocation () Int)
+(declare-fun T_javafe_ast_Identifier () Int)
+(declare-fun T_javafe_ast_NewInstanceExpr () Int)
+(declare-fun T_java_util_Hashtable () Int)
+(declare-fun T_java_util_Dictionary () Int)
+(declare-fun T_javafe_tc_Env () Int)
+(declare-fun T_javafe_ast_SingleTypeImportDecl () Int)
+(declare-fun T_javafe_ast_Name () Int)
+(declare-fun T_javafe_ast_CompilationUnit () Int)
+(declare-fun T_javafe_ast_BreakStmt () Int)
+(declare-fun T_javafe_ast_BranchStmt () Int)
+(declare-fun T_javafe_ast_VisitorArgResult () Int)
+(declare-fun T_javafe_ast_AmbiguousVariableAccess () Int)
+(declare-fun T_javafe_ast_FormalParaDecl () Int)
+(declare-fun T_javafe_ast_TryFinallyStmt () Int)
+(declare-fun T_javafe_ast_SuperObjectDesignator () Int)
+(declare-fun T_javafe_ast_UnaryExpr () Int)
+(declare-fun T_javafe_ast_InterfaceDecl () Int)
+(declare-fun T_javafe_ast_SynchronizeStmt () Int)
+(declare-fun T_java_lang_IndexOutOfBoundsException () Int)
+(declare-fun T_javafe_ast_LabelStmt () Int)
+(declare-fun T_javafe_ast_CompoundName () Int)
+(declare-fun T_javafe_ast_CatchClause () Int)
+(declare-fun T_javafe_ast_FieldAccess () Int)
+(declare-fun T_javafe_ast_IdentifierVec () Int)
+(declare-fun T_java_lang_Integer () Int)
+(declare-fun T_javafe_ast_ExprVec () Int)
+(declare-fun T_javafe_tc_TagConstants () Int)
+(declare-fun T_javafe_parser_TagConstants () Int)
+(declare-fun T_javafe_ast_ConstructorDecl () Int)
+(declare-fun T_javafe_ast_SwitchStmt () Int)
+(declare-fun T_java_lang_Double () Int)
+(declare-fun T_javafe_ast_PrimitiveType () Int)
+(declare-fun T_javafe_ast_ReturnStmt () Int)
+(declare-fun T_java_lang_Float () Int)
+(declare-fun T_javafe_ast_ThisExpr () Int)
+(declare-fun T_javafe_ast_ExprObjectDesignator () Int)
+(declare-fun T_javafe_ast_ForStmt () Int)
+(declare-fun T_javafe_ast_InstanceOfExpr () Int)
+(declare-fun T_javafe_ast_OnDemandImportDecl () Int)
+(declare-fun T_javafe_ast_ClassDeclStmt () Int)
+(declare-fun T_javafe_tc_TypeSig () Int)
+(declare-fun T_javafe_ast_InitBlock () Int)
+(declare-fun T_javafe_ast_ArrayRefExpr () Int)
+(declare-fun T_javafe_ast_ArrayType () Int)
+(declare-fun T_javafe_ast_ASTDecoration () Int)
+(declare-fun T_javafe_ast_VarInitVec () Int)
+(declare-fun T_javafe_ast_Visitor () Int)
+(declare-fun T_javafe_ast_SwitchLabel () Int)
+(declare-fun T_javafe_ast_FieldDecl () Int)
+(declare-fun T_javafe_ast_BinaryExpr () Int)
+(declare-fun T_javafe_ast_ClassDecl () Int)
+(declare-fun T_javafe_ast_ClassLiteral () Int)
+(declare-fun T_javafe_ast_DoStmt () Int)
+(declare-fun T_javafe_ast_NewArrayExpr () Int)
+(declare-fun T_javafe_ast_AmbiguousMethodInvocation () Int)
+(declare-fun T_javafe_ast_ContinueStmt () Int)
+(declare-fun T_javafe_ast_SimpleName () Int)
+(declare-fun DIST_ZERO_1 () Int)
+(declare-fun T__TYPE () Int)
+(declare-fun TRYCATCHSTMT_19_43_7 () Int)
+(declare-fun UNARYSUB_18_55_26 () Int)
+(declare-fun TYPEDECLELEMPRAGMA_29_27_26 () Int)
+(declare-fun SHORTTYPE_17_36_26 () Int)
+(declare-fun WHILESTMT_19_29_7 () Int)
+(declare-fun STAR_18_37_26 () Int)
+(declare-fun VARIABLEACCESS_19_56_7 () Int)
+(declare-fun SINGLETYPEIMPORTDECL_19_15_7 () Int)
+(declare-fun BITAND_18_23_26 () Int)
+(declare-fun TRYFINALLYSTMT_19_42_7 () Int)
+(declare-fun UNARYADD_18_54_26 () Int)
+(declare-fun BYTETYPE_17_35_26 () Int)
+(declare-fun CLASSDECLSTMT_19_28_7 () Int)
+(declare-fun MOD_18_36_26 () Int)
+(declare-fun STMTPRAGMA_29_26_26 () Int)
+(declare-fun AMBIGUOUSVARIABLEACCESS_19_55_7 () Int)
+(declare-fun COMPILATIONUNIT_19_14_7 () Int)
+(declare-fun BITXOR_18_22_26 () Int)
+(declare-fun SWITCHLABEL_19_41_7 () Int)
+(declare-fun ASGBITXOR_18_51_26 () Int)
+(declare-fun punctuationStrings_29_134_22 () Int)
+(declare-fun NULLTYPE_17_34_26 () Int)
+(declare-fun VARDECLSTMT_19_27_7 () Int)
+(declare-fun DIV_18_35_26 () Int)
+(declare-fun otherStrings_29_193_30 () Int)
+(declare-fun PARENEXPR_19_54_7 () Int)
+(declare-fun BITOR_18_21_26 () Int)
+(declare-fun MODIFIERPRAGMA_29_25_26 () Int)
+(declare-fun noTokens_29_212_27 () Int)
+(declare-fun SKIPSTMT_19_40_7 () Int)
+(declare-fun ASGBITOR_18_50_26 () Int)
+(declare-fun COMPOUNDNAME_19_67_7 () Int)
+(declare-fun VOIDTYPE_17_33_26 () Int)
+(declare-fun SWITCHSTMT_19_26_7 () Int)
+(declare-fun SUB_18_34_26 () Int)
+(declare-fun CASTEXPR_19_53_7 () Int)
+(declare-fun TYPESIG_28_6_28 () Int)
+(declare-fun FORSTMT_19_39_7 () Int)
+(declare-fun LEXICALPRAGMA_29_24_26 () Int)
+(declare-fun ASGBITAND_18_49_26 () Int)
+(declare-fun SIMPLENAME_19_66_7 () Int)
+(declare-fun DOUBLETYPE_17_32_26 () Int)
+(declare-fun BLOCKSTMT_19_25_7 () Int)
+(declare-fun ADD_18_33_26 () Int)
+(declare-fun FIRST_KEYWORD_29_51_26 () Int)
+(declare-fun INSTANCEOFEXPR_19_52_7 () Int)
+(declare-fun AND_18_20_26 () Int)
+(declare-fun IFSTMT_19_38_7 () Int)
+(declare-fun LAST_KEYWORD_29_103_26 () Int)
+(declare-fun ASGURSHIFT_18_48_26 () Int)
+(declare-fun ARRAYTYPE_19_65_7 () Int)
+(declare-fun FORMALPARADECL_19_24_7 () Int)
+(declare-fun FLOATTYPE_17_31_26 () Int)
+(declare-fun URSHIFT_18_32_26 () Int)
+(declare-fun CONDEXPR_19_51_7 () Int)
+(declare-fun OR_18_19_26 () Int)
+(declare-fun LABELSTMT_19_37_7 () Int)
+(declare-fun NULLLIT_17_45_26 () Int)
+(declare-fun ASGRSHIFT_18_47_26 () Int)
+(declare-fun NULL_29_82_26 () Int)
+(declare-fun TYPENAME_19_64_7 () Int)
+(declare-fun CHARTYPE_17_30_26 () Int)
+(declare-fun FIELDDECL_19_23_7 () Int)
+(declare-fun RSHIFT_18_31_26 () Int)
+(declare-fun NEWARRAYEXPR_19_50_7 () Int)
+(declare-fun CONTINUESTMT_19_36_7 () Int)
+(declare-fun STRINGLIT_17_44_26 () Int)
+(declare-fun ASGLSHIFT_18_46_26 () Int)
+(declare-fun SUPEROBJECTDESIGNATOR_19_63_7 () Int)
+(declare-fun LONGTYPE_17_29_26 () Int)
+(declare-fun LOCALVARDECL_19_22_7 () Int)
+(declare-fun LSHIFT_18_30_26 () Int)
+(declare-fun NEWINSTANCEEXPR_19_49_7 () Int)
+(declare-fun POSTFIXDEC_18_63_26 () Int)
+(declare-fun BREAKSTMT_19_35_7 () Int)
+(declare-fun DOUBLELIT_17_43_26 () Int)
+(declare-fun ASGSUB_18_45_26 () Int)
+(declare-fun TYPEOBJECTDESIGNATOR_19_62_7 () Int)
+(declare-fun INTTYPE_17_28_26 () Int)
+(declare-fun INITBLOCK_19_21_7 () Int)
+(declare-fun LT_18_29_26 () Int)
+(declare-fun ARRAYREFEXPR_19_48_7 () Int)
+(declare-fun POSTFIXINC_18_62_26 () Int)
+(declare-fun THROWSTMT_19_34_7 () Int)
+(declare-fun FLOATLIT_17_42_26 () Int)
+(declare-fun ASGADD_18_44_26 () Int)
+(declare-fun EXPROBJECTDESIGNATOR_19_61_7 () Int)
+(declare-fun otherCodes_29_202_27 () Int)
+(declare-fun BOOLEANTYPE_17_27_26 () Int)
+(declare-fun METHODDECL_19_20_7 () Int)
+(declare-fun LE_18_28_26 () Int)
+(declare-fun THISEXPR_19_47_7 () Int)
+(declare-fun DEC_18_59_26 () Int)
+(declare-fun CHARLIT_17_41_26 () Int)
+(declare-fun RETURNSTMT_19_33_7 () Int)
+(declare-fun punctuationCodes_29_164_19 () Int)
+(declare-fun ASGREM_18_43_26 () Int)
+(declare-fun CLASSLITERAL_19_60_7 () Int)
+(declare-fun IDENT_17_25_26 () Int)
+(declare-fun CONSTRUCTORDECL_19_19_7 () Int)
+(declare-fun GT_18_27_26 () Int)
+(declare-fun ARRAYINIT_19_46_7 () Int)
+(declare-fun INC_18_58_26 () Int)
+(declare-fun LONGLIT_17_40_26 () Int)
+(declare-fun EVALSTMT_19_32_7 () Int)
+(declare-fun ASGDIV_18_42_26 () Int)
+(declare-fun METHODINVOCATION_19_59_7 () Int)
+(declare-fun INTERFACEDECL_19_18_7 () Int)
+(declare-fun GE_18_26_26 () Int)
+(declare-fun CATCHCLAUSE_19_45_7 () Int)
+(declare-fun BITNOT_18_57_26 () Int)
+(declare-fun keywordStrings_29_181_30 () Int)
+(declare-fun NULL_13_60_26 () Int)
+(declare-fun SYNCHRONIZESTMT_19_31_7 () Int)
+(declare-fun INTLIT_17_39_26 () Int)
+(declare-fun ASGMUL_18_41_26 () Int)
+(declare-fun AMBIGUOUSMETHODINVOCATION_19_58_7 () Int)
+(declare-fun CLASSDECL_19_17_7 () Int)
+(declare-fun EQ_18_25_26 () Int)
+(declare-fun TYPEMODIFIERPRAGMA_29_28_26 () Int)
+(declare-fun CONSTRUCTORINVOCATION_19_44_7 () Int)
+(declare-fun NOT_18_56_26 () Int)
+(declare-fun BOOLEANLIT_17_38_26 () Int)
+(declare-fun DOSTMT_19_30_7 () Int)
+(declare-fun ASSIGN_18_40_26 () Int)
+(declare-fun FIELDACCESS_19_57_7 () Int)
+(declare-fun ONDEMANDIMPORTDECL_19_16_7 () Int)
+(declare-fun NE_18_24_26 () Int)
+(assert (let ((?v_0 (array T_java_lang_String)) (?v_1 (array T_int))) (and (= (PO_LT T_javafe_ast_ConstructorInvocation T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ConstructorInvocation (asChild T_javafe_ast_ConstructorInvocation T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_VariableAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_VariableAccess (asChild T_javafe_ast_VariableAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ImportDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_ImportDecl (asChild T_javafe_ast_ImportDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_TryCatchStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_TryCatchStmt (asChild T_javafe_ast_TryCatchStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_OperatorTags T_java_lang_Object) true_term) (= T_javafe_ast_OperatorTags (asChild T_javafe_ast_OperatorTags T_java_lang_Object)) (= (PO_LT T_javafe_ast_OperatorTags T_javafe_ast_GeneratedTags) true_term) (= (PO_LT T_javafe_ast_TagConstants T_javafe_ast_OperatorTags) true_term) (= T_javafe_ast_TagConstants (asChild T_javafe_ast_TagConstants T_javafe_ast_OperatorTags)) (= (PO_LT T_javafe_tc_FieldDeclVec T_java_lang_Object) true_term) (= T_javafe_tc_FieldDeclVec (asChild T_javafe_tc_FieldDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_BlockStmt T_javafe_ast_GenericBlockStmt) true_term) (= T_javafe_ast_BlockStmt (asChild T_javafe_ast_BlockStmt T_javafe_ast_GenericBlockStmt)) (= (PO_LT T_javafe_ast_RoutineDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_RoutineDecl (asChild T_javafe_ast_RoutineDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_RoutineDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_Stmt T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Stmt (asChild T_javafe_ast_Stmt T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_Expr T_javafe_ast_VarInit) true_term) (= T_javafe_ast_Expr (asChild T_javafe_ast_Expr T_javafe_ast_VarInit)) (= (PO_LT T_javafe_ast_TypeDeclElem T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_ParenExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ParenExpr (asChild T_javafe_ast_ParenExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_Type T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Type (asChild T_javafe_ast_Type T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_EvalStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_EvalStmt (asChild T_javafe_ast_EvalStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_TypeObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_TypeObjectDesignator (asChild T_javafe_ast_TypeObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_java_lang_RuntimeException T_java_lang_Exception) true_term) (= T_java_lang_RuntimeException (asChild T_java_lang_RuntimeException T_java_lang_Exception)) (= (PO_LT T_javafe_ast_GeneratedTags T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_IfStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_IfStmt (asChild T_javafe_ast_IfStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ArrayInit T_javafe_ast_VarInit) true_term) (= T_javafe_ast_ArrayInit (asChild T_javafe_ast_ArrayInit T_javafe_ast_VarInit)) (= (PO_LT T_java_lang_Exception T_java_lang_Throwable) true_term) (= T_java_lang_Exception (asChild T_java_lang_Exception T_java_lang_Throwable)) (= (PO_LT T_java_util_Map T_java_lang_Object) true_term) (= (PO_LT T_java_util_Map T_java_util_EscjavaKeyValue) true_term) (= (PO_LT T_java_lang_Long T_java_lang_Number) true_term) (= T_java_lang_Long (asChild T_java_lang_Long T_java_lang_Number)) true (= (PO_LT T_java_lang_Long T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_CondExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_CondExpr (asChild T_javafe_ast_CondExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_util_Location T_java_lang_Object) true_term) (= T_javafe_util_Location (asChild T_javafe_util_Location T_java_lang_Object)) (= (PO_LT T_javafe_tc_MethodDeclVec T_java_lang_Object) true_term) (= T_javafe_tc_MethodDeclVec (asChild T_javafe_tc_MethodDeclVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_VarDeclStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_VarDeclStmt (asChild T_javafe_ast_VarDeclStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_MethodDecl T_javafe_ast_RoutineDecl) true_term) (= T_javafe_ast_MethodDecl (asChild T_javafe_ast_MethodDecl T_javafe_ast_RoutineDecl)) (= (PO_LT T_javafe_ast_TypeName T_javafe_ast_Type) true_term) (= T_javafe_ast_TypeName (asChild T_javafe_ast_TypeName T_javafe_ast_Type)) (= (PO_LT T_javafe_ast_LiteralExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_LiteralExpr (asChild T_javafe_ast_LiteralExpr T_javafe_ast_Expr)) (= (PO_LT T_java_lang_Throwable T_java_lang_Object) true_term) (= T_java_lang_Throwable (asChild T_java_lang_Throwable T_java_lang_Object)) (= (PO_LT T_java_lang_Throwable T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_ThrowStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ThrowStmt (asChild T_javafe_ast_ThrowStmt T_javafe_ast_Stmt)) (= (PO_LT T_java_lang_Boolean T_java_lang_Object) true_term) (= T_java_lang_Boolean (asChild T_java_lang_Boolean T_java_lang_Object)) true (= (PO_LT T_java_lang_Boolean T_java_io_Serializable) true_term) (= (PO_LT T_java_io_Serializable T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_SkipStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SkipStmt (asChild T_javafe_ast_SkipStmt T_javafe_ast_Stmt)) (= (PO_LT T_java_lang_Comparable T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_LocalVarDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_LocalVarDecl (asChild T_javafe_ast_LocalVarDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_ast_ObjectDesignator T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_ObjectDesignator (asChild T_javafe_ast_ObjectDesignator T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_CastExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_CastExpr (asChild T_javafe_ast_CastExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_WhileStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_WhileStmt (asChild T_javafe_ast_WhileStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_TypeDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_TypeDecl (asChild T_javafe_ast_TypeDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_TypeDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_MethodInvocation T_javafe_ast_Expr) true_term) (= T_javafe_ast_MethodInvocation (asChild T_javafe_ast_MethodInvocation T_javafe_ast_Expr)) (= (PO_LT T_java_lang_Cloneable T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_Identifier T_java_lang_Object) true_term) (= T_javafe_ast_Identifier (asChild T_javafe_ast_Identifier T_java_lang_Object)) true (= (PO_LT T_javafe_ast_NewInstanceExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_NewInstanceExpr (asChild T_javafe_ast_NewInstanceExpr T_javafe_ast_Expr)) (= (PO_LT T_java_util_Hashtable T_java_util_Dictionary) true_term) (= T_java_util_Hashtable (asChild T_java_util_Hashtable T_java_util_Dictionary)) (= (PO_LT T_java_util_Hashtable T_java_util_Map) true_term) (= (PO_LT T_java_util_Hashtable T_java_lang_Cloneable) true_term) (= (PO_LT T_java_util_Hashtable T_java_io_Serializable) true_term) (= (PO_LT T_javafe_tc_Env T_java_lang_Object) true_term) (= T_javafe_tc_Env (asChild T_javafe_tc_Env T_java_lang_Object)) (= (PO_LT T_javafe_ast_SingleTypeImportDecl T_javafe_ast_ImportDecl) true_term) (= T_javafe_ast_SingleTypeImportDecl (asChild T_javafe_ast_SingleTypeImportDecl T_javafe_ast_ImportDecl)) (= (PO_LT T_javafe_ast_Name T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_Name (asChild T_javafe_ast_Name T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_CompilationUnit T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_CompilationUnit (asChild T_javafe_ast_CompilationUnit T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_BreakStmt T_javafe_ast_BranchStmt) true_term) (= T_javafe_ast_BreakStmt (asChild T_javafe_ast_BreakStmt T_javafe_ast_BranchStmt)) (= (PO_LT T_java_lang_Number T_java_lang_Object) true_term) (= T_java_lang_Number (asChild T_java_lang_Number T_java_lang_Object)) (= (PO_LT T_java_lang_Number T_java_io_Serializable) true_term) (= (PO_LT T_javafe_ast_VisitorArgResult T_java_lang_Object) true_term) (= T_javafe_ast_VisitorArgResult (asChild T_javafe_ast_VisitorArgResult T_java_lang_Object)) (= (PO_LT T_java_util_EscjavaKeyValue T_java_lang_Object) true_term) (= (PO_LT T_javafe_ast_GenericBlockStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_GenericBlockStmt (asChild T_javafe_ast_GenericBlockStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_AmbiguousVariableAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_AmbiguousVariableAccess (asChild T_javafe_ast_AmbiguousVariableAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_FormalParaDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_FormalParaDecl (asChild T_javafe_ast_FormalParaDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_ast_TryFinallyStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_TryFinallyStmt (asChild T_javafe_ast_TryFinallyStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_SuperObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_SuperObjectDesignator (asChild T_javafe_ast_SuperObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_javafe_ast_UnaryExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_UnaryExpr (asChild T_javafe_ast_UnaryExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_InterfaceDecl T_javafe_ast_TypeDecl) true_term) (= T_javafe_ast_InterfaceDecl (asChild T_javafe_ast_InterfaceDecl T_javafe_ast_TypeDecl)) (= (PO_LT T_javafe_ast_SynchronizeStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SynchronizeStmt (asChild T_javafe_ast_SynchronizeStmt T_javafe_ast_Stmt)) (= (PO_LT T_java_lang_IndexOutOfBoundsException T_java_lang_RuntimeException) true_term) (= T_java_lang_IndexOutOfBoundsException (asChild T_java_lang_IndexOutOfBoundsException T_java_lang_RuntimeException)) (= (PO_LT T_javafe_ast_LabelStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_LabelStmt (asChild T_javafe_ast_LabelStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_CompoundName T_javafe_ast_Name) true_term) (= T_javafe_ast_CompoundName (asChild T_javafe_ast_CompoundName T_javafe_ast_Name)) (= (PO_LT T_javafe_ast_CatchClause T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_CatchClause (asChild T_javafe_ast_CatchClause T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_FieldAccess T_javafe_ast_Expr) true_term) (= T_javafe_ast_FieldAccess (asChild T_javafe_ast_FieldAccess T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_IdentifierVec T_java_lang_Object) true_term) (= T_javafe_ast_IdentifierVec (asChild T_javafe_ast_IdentifierVec T_java_lang_Object)) (= (PO_LT T_java_lang_Integer T_java_lang_Number) true_term) (= T_java_lang_Integer (asChild T_java_lang_Integer T_java_lang_Number)) true (= (PO_LT T_java_lang_Integer T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_ExprVec T_java_lang_Object) true_term) (= T_javafe_ast_ExprVec (asChild T_javafe_ast_ExprVec T_java_lang_Object)) (= (PO_LT T_javafe_tc_TagConstants T_javafe_parser_TagConstants) true_term) (= T_javafe_tc_TagConstants (asChild T_javafe_tc_TagConstants T_javafe_parser_TagConstants)) (= (PO_LT T_javafe_ast_ConstructorDecl T_javafe_ast_RoutineDecl) true_term) (= T_javafe_ast_ConstructorDecl (asChild T_javafe_ast_ConstructorDecl T_javafe_ast_RoutineDecl)) (= (PO_LT T_javafe_ast_SwitchStmt T_javafe_ast_GenericBlockStmt) true_term) (= T_javafe_ast_SwitchStmt (asChild T_javafe_ast_SwitchStmt T_javafe_ast_GenericBlockStmt)) (= (PO_LT T_javafe_parser_TagConstants T_javafe_ast_TagConstants) true_term) (= T_javafe_parser_TagConstants (asChild T_javafe_parser_TagConstants T_javafe_ast_TagConstants)) (= (PO_LT T_javafe_ast_ASTNode T_java_lang_Object) true_term) (= T_javafe_ast_ASTNode (asChild T_javafe_ast_ASTNode T_java_lang_Object)) (= (PO_LT T_javafe_ast_ASTNode T_java_lang_Cloneable) true_term) (= (PO_LT T_java_lang_Double T_java_lang_Number) true_term) (= T_java_lang_Double (asChild T_java_lang_Double T_java_lang_Number)) true (= (PO_LT T_java_lang_Double T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_PrimitiveType T_javafe_ast_Type) true_term) (= T_javafe_ast_PrimitiveType (asChild T_javafe_ast_PrimitiveType T_javafe_ast_Type)) (= (PO_LT T_javafe_ast_ReturnStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ReturnStmt (asChild T_javafe_ast_ReturnStmt T_javafe_ast_Stmt)) (= (PO_LT T_java_lang_Float T_java_lang_Number) true_term) (= T_java_lang_Float (asChild T_java_lang_Float T_java_lang_Number)) true (= (PO_LT T_java_lang_Float T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_ThisExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ThisExpr (asChild T_javafe_ast_ThisExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_VarInit T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_VarInit (asChild T_javafe_ast_VarInit T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_ExprObjectDesignator T_javafe_ast_ObjectDesignator) true_term) (= T_javafe_ast_ExprObjectDesignator (asChild T_javafe_ast_ExprObjectDesignator T_javafe_ast_ObjectDesignator)) (= (PO_LT T_java_util_Dictionary T_java_lang_Object) true_term) (= T_java_util_Dictionary (asChild T_java_util_Dictionary T_java_lang_Object)) (= (PO_LT T_java_util_Dictionary T_java_util_EscjavaKeyValue) true_term) (= (PO_LT T_javafe_ast_ForStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ForStmt (asChild T_javafe_ast_ForStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_InstanceOfExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_InstanceOfExpr (asChild T_javafe_ast_InstanceOfExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_GenericVarDecl T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_GenericVarDecl (asChild T_javafe_ast_GenericVarDecl T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_OnDemandImportDecl T_javafe_ast_ImportDecl) true_term) (= T_javafe_ast_OnDemandImportDecl (asChild T_javafe_ast_OnDemandImportDecl T_javafe_ast_ImportDecl)) (= (PO_LT T_javafe_ast_ClassDeclStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_ClassDeclStmt (asChild T_javafe_ast_ClassDeclStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_tc_TypeSig T_javafe_ast_Type) true_term) (= T_javafe_tc_TypeSig (asChild T_javafe_tc_TypeSig T_javafe_ast_Type)) (= (PO_LT T_javafe_ast_InitBlock T_javafe_ast_ASTNode) true_term) (= T_javafe_ast_InitBlock (asChild T_javafe_ast_InitBlock T_javafe_ast_ASTNode)) (= (PO_LT T_javafe_ast_InitBlock T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_ArrayRefExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_ArrayRefExpr (asChild T_javafe_ast_ArrayRefExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ArrayType T_javafe_ast_Type) true_term) (= T_javafe_ast_ArrayType (asChild T_javafe_ast_ArrayType T_javafe_ast_Type)) (= (PO_LT T_javafe_ast_BranchStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_BranchStmt (asChild T_javafe_ast_BranchStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_ASTDecoration T_java_lang_Object) true_term) (= T_javafe_ast_ASTDecoration (asChild T_javafe_ast_ASTDecoration T_java_lang_Object)) (= (PO_LT T_javafe_ast_VarInitVec T_java_lang_Object) true_term) (= T_javafe_ast_VarInitVec (asChild T_javafe_ast_VarInitVec T_java_lang_Object)) (= (PO_LT T_javafe_ast_Visitor T_java_lang_Object) true_term) (= T_javafe_ast_Visitor (asChild T_javafe_ast_Visitor T_java_lang_Object)) (= (PO_LT T_javafe_ast_SwitchLabel T_javafe_ast_Stmt) true_term) (= T_javafe_ast_SwitchLabel (asChild T_javafe_ast_SwitchLabel T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_FieldDecl T_javafe_ast_GenericVarDecl) true_term) (= T_javafe_ast_FieldDecl (asChild T_javafe_ast_FieldDecl T_javafe_ast_GenericVarDecl)) (= (PO_LT T_javafe_ast_FieldDecl T_javafe_ast_TypeDeclElem) true_term) (= (PO_LT T_javafe_ast_BinaryExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_BinaryExpr (asChild T_javafe_ast_BinaryExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ClassDecl T_javafe_ast_TypeDecl) true_term) (= T_javafe_ast_ClassDecl (asChild T_javafe_ast_ClassDecl T_javafe_ast_TypeDecl)) (= (PO_LT T_javafe_ast_ClassLiteral T_javafe_ast_Expr) true_term) (= T_javafe_ast_ClassLiteral (asChild T_javafe_ast_ClassLiteral T_javafe_ast_Expr)) (= (PO_LT T_java_lang_String T_java_lang_Object) true_term) (= T_java_lang_String (asChild T_java_lang_String T_java_lang_Object)) true (= (PO_LT T_java_lang_String T_java_io_Serializable) true_term) (= (PO_LT T_java_lang_String T_java_lang_Comparable) true_term) (= (PO_LT T_javafe_ast_DoStmt T_javafe_ast_Stmt) true_term) (= T_javafe_ast_DoStmt (asChild T_javafe_ast_DoStmt T_javafe_ast_Stmt)) (= (PO_LT T_javafe_ast_NewArrayExpr T_javafe_ast_Expr) true_term) (= T_javafe_ast_NewArrayExpr (asChild T_javafe_ast_NewArrayExpr T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_AmbiguousMethodInvocation T_javafe_ast_Expr) true_term) (= T_javafe_ast_AmbiguousMethodInvocation (asChild T_javafe_ast_AmbiguousMethodInvocation T_javafe_ast_Expr)) (= (PO_LT T_javafe_ast_ContinueStmt T_javafe_ast_BranchStmt) true_term) (= T_javafe_ast_ContinueStmt (asChild T_javafe_ast_ContinueStmt T_javafe_ast_BranchStmt)) (= (PO_LT T_javafe_ast_SimpleName T_javafe_ast_Name) true_term) (= T_javafe_ast_SimpleName (asChild T_javafe_ast_SimpleName T_javafe_ast_Name)) (and (= arrayType (+ DIST_ZERO_1 0)) (= T_boolean (+ DIST_ZERO_1 1)) (= T_char (+ DIST_ZERO_1 2)) (= T_byte (+ DIST_ZERO_1 3)) (= T_short (+ DIST_ZERO_1 4)) (= T_int (+ DIST_ZERO_1 5)) (= T_long (+ DIST_ZERO_1 6)) (= T_float (+ DIST_ZERO_1 7)) (= T_double (+ DIST_ZERO_1 8)) (= T__TYPE (+ DIST_ZERO_1 9)) (= T_javafe_ast_ConstructorInvocation (+ DIST_ZERO_1 10)) (= T_javafe_ast_VariableAccess (+ DIST_ZERO_1 11)) (= T_javafe_ast_ImportDecl (+ DIST_ZERO_1 12)) (= T_javafe_ast_TryCatchStmt (+ DIST_ZERO_1 13)) (= T_javafe_ast_OperatorTags (+ DIST_ZERO_1 14)) (= T_javafe_ast_TagConstants (+ DIST_ZERO_1 15)) (= T_javafe_tc_FieldDeclVec (+ DIST_ZERO_1 16)) (= T_javafe_ast_BlockStmt (+ DIST_ZERO_1 17)) (= T_javafe_ast_RoutineDecl (+ DIST_ZERO_1 18)) (= T_javafe_ast_Stmt (+ DIST_ZERO_1 19)) (= T_javafe_ast_Expr (+ DIST_ZERO_1 20)) (= T_javafe_ast_TypeDeclElem (+ DIST_ZERO_1 21)) (= T_javafe_ast_ParenExpr (+ DIST_ZERO_1 22)) (= T_javafe_ast_Type (+ DIST_ZERO_1 23)) (= T_javafe_ast_EvalStmt (+ DIST_ZERO_1 24)) (= T_javafe_ast_TypeObjectDesignator (+ DIST_ZERO_1 25)) (= T_java_lang_RuntimeException (+ DIST_ZERO_1 26)) (= T_javafe_ast_GeneratedTags (+ DIST_ZERO_1 27)) (= T_javafe_ast_IfStmt (+ DIST_ZERO_1 28)) (= T_javafe_ast_ArrayInit (+ DIST_ZERO_1 29)) (= T_java_lang_Exception (+ DIST_ZERO_1 30)) (= T_java_util_Map (+ DIST_ZERO_1 31)) (= T_java_lang_Long (+ DIST_ZERO_1 32)) (= T_javafe_ast_CondExpr (+ DIST_ZERO_1 33)) (= T_javafe_util_Location (+ DIST_ZERO_1 34)) (= T_javafe_tc_MethodDeclVec (+ DIST_ZERO_1 35)) (= T_javafe_ast_VarDeclStmt (+ DIST_ZERO_1 36)) (= T_javafe_ast_MethodDecl (+ DIST_ZERO_1 37)) (= T_javafe_ast_TypeName (+ DIST_ZERO_1 38)) (= T_javafe_ast_LiteralExpr (+ DIST_ZERO_1 39)) (= T_java_lang_Throwable (+ DIST_ZERO_1 40)) (= T_javafe_ast_ThrowStmt (+ DIST_ZERO_1 41)) (= T_java_lang_Boolean (+ DIST_ZERO_1 42)) (= T_java_io_Serializable (+ DIST_ZERO_1 43)) (= T_javafe_ast_SkipStmt (+ DIST_ZERO_1 44)) (= T_java_lang_Comparable (+ DIST_ZERO_1 45)) (= T_javafe_ast_LocalVarDecl (+ DIST_ZERO_1 46)) (= T_javafe_ast_ObjectDesignator (+ DIST_ZERO_1 47)) (= T_javafe_ast_CastExpr (+ DIST_ZERO_1 48)) (= T_javafe_ast_WhileStmt (+ DIST_ZERO_1 49)) (= T_javafe_ast_TypeDecl (+ DIST_ZERO_1 50)) (= T_javafe_ast_MethodInvocation (+ DIST_ZERO_1 51)) (= T_java_lang_Cloneable (+ DIST_ZERO_1 52)) (= T_javafe_ast_Identifier (+ DIST_ZERO_1 53)) (= T_javafe_ast_NewInstanceExpr (+ DIST_ZERO_1 54)) (= T_java_lang_Object (+ DIST_ZERO_1 55)) (= T_java_util_Hashtable (+ DIST_ZERO_1 56)) (= T_javafe_tc_Env (+ DIST_ZERO_1 57)) (= T_javafe_ast_SingleTypeImportDecl (+ DIST_ZERO_1 58)) (= T_javafe_ast_Name (+ DIST_ZERO_1 59)) (= T_javafe_ast_CompilationUnit (+ DIST_ZERO_1 60)) (= T_javafe_ast_BreakStmt (+ DIST_ZERO_1 61)) (= T_java_lang_Number (+ DIST_ZERO_1 62)) (= T_javafe_ast_VisitorArgResult (+ DIST_ZERO_1 63)) (= T_java_util_EscjavaKeyValue (+ DIST_ZERO_1 64)) (= T_javafe_ast_GenericBlockStmt (+ DIST_ZERO_1 65)) (= T_javafe_ast_AmbiguousVariableAccess (+ DIST_ZERO_1 66)) (= T_javafe_ast_FormalParaDecl (+ DIST_ZERO_1 67)) (= T_javafe_ast_TryFinallyStmt (+ DIST_ZERO_1 68)) (= T_javafe_ast_SuperObjectDesignator (+ DIST_ZERO_1 69)) (= T_javafe_ast_UnaryExpr (+ DIST_ZERO_1 70)) (= T_javafe_ast_InterfaceDecl (+ DIST_ZERO_1 71)) (= T_javafe_ast_SynchronizeStmt (+ DIST_ZERO_1 72)) (= T_java_lang_IndexOutOfBoundsException (+ DIST_ZERO_1 73)) (= T_javafe_ast_LabelStmt (+ DIST_ZERO_1 74)) (= T_javafe_ast_CompoundName (+ DIST_ZERO_1 75)) (= T_javafe_ast_CatchClause (+ DIST_ZERO_1 76)) (= T_javafe_ast_FieldAccess (+ DIST_ZERO_1 77)) (= T_javafe_ast_IdentifierVec (+ DIST_ZERO_1 78)) (= T_java_lang_Integer (+ DIST_ZERO_1 79)) (= T_javafe_ast_ExprVec (+ DIST_ZERO_1 80)) (= T_javafe_tc_TagConstants (+ DIST_ZERO_1 81)) (= T_javafe_ast_ConstructorDecl (+ DIST_ZERO_1 82)) (= T_javafe_ast_SwitchStmt (+ DIST_ZERO_1 83)) (= T_javafe_parser_TagConstants (+ DIST_ZERO_1 84)) (= T_javafe_ast_ASTNode (+ DIST_ZERO_1 85)) (= T_java_lang_Double (+ DIST_ZERO_1 86)) (= T_javafe_ast_PrimitiveType (+ DIST_ZERO_1 87)) (= T_javafe_ast_ReturnStmt (+ DIST_ZERO_1 88)) (= T_java_lang_Float (+ DIST_ZERO_1 89)) (= T_javafe_ast_ThisExpr (+ DIST_ZERO_1 90)) (= T_javafe_ast_VarInit (+ DIST_ZERO_1 91)) (= T_javafe_ast_ExprObjectDesignator (+ DIST_ZERO_1 92)) (= T_java_util_Dictionary (+ DIST_ZERO_1 93)) (= T_javafe_ast_ForStmt (+ DIST_ZERO_1 94)) (= T_javafe_ast_InstanceOfExpr (+ DIST_ZERO_1 95)) (= T_javafe_ast_GenericVarDecl (+ DIST_ZERO_1 96)) (= T_javafe_ast_OnDemandImportDecl (+ DIST_ZERO_1 97)) (= T_javafe_ast_ClassDeclStmt (+ DIST_ZERO_1 98)) (= T_javafe_tc_TypeSig (+ DIST_ZERO_1 99)) (= T_javafe_ast_InitBlock (+ DIST_ZERO_1 100)) (= T_javafe_ast_ArrayRefExpr (+ DIST_ZERO_1 101)) (= T_javafe_ast_ArrayType (+ DIST_ZERO_1 102)) (= T_javafe_ast_BranchStmt (+ DIST_ZERO_1 103)) (= T_javafe_ast_ASTDecoration (+ DIST_ZERO_1 104)) (= T_javafe_ast_VarInitVec (+ DIST_ZERO_1 105)) (= T_javafe_ast_Visitor (+ DIST_ZERO_1 106)) (= T_javafe_ast_SwitchLabel (+ DIST_ZERO_1 107)) (= T_javafe_ast_FieldDecl (+ DIST_ZERO_1 108)) (= T_javafe_ast_BinaryExpr (+ DIST_ZERO_1 109)) (= T_javafe_ast_ClassDecl (+ DIST_ZERO_1 110)) (= T_javafe_ast_ClassLiteral (+ DIST_ZERO_1 111)) (= T_java_lang_String (+ DIST_ZERO_1 112)) (= T_javafe_ast_DoStmt (+ DIST_ZERO_1 113)) (= T_javafe_ast_NewArrayExpr (+ DIST_ZERO_1 114)) (= T_javafe_ast_AmbiguousMethodInvocation (+ DIST_ZERO_1 115)) (= T_javafe_ast_ContinueStmt (+ DIST_ZERO_1 116)) (= T_javafe_ast_SimpleName (+ DIST_ZERO_1 117))) (= true_term (is TRYCATCHSTMT_19_43_7 T_int)) (= TRYCATCHSTMT_19_43_7 29) (= true_term (is UNARYSUB_18_55_26 T_int)) (= UNARYSUB_18_55_26 86) (= true_term (is TYPEDECLELEMPRAGMA_29_27_26 T_int)) (= TYPEDECLELEMPRAGMA_29_27_26 117) (= true_term (is SHORTTYPE_17_36_26 T_int)) (= SHORTTYPE_17_36_26 103) (= true_term (is WHILESTMT_19_29_7 T_int)) (= WHILESTMT_19_29_7 15) (= true_term (is STAR_18_37_26 T_int)) (= STAR_18_37_26 72) (= true_term (is VARIABLEACCESS_19_56_7 T_int)) (= VARIABLEACCESS_19_56_7 42) (= true_term (is SINGLETYPEIMPORTDECL_19_15_7 T_int)) (= SINGLETYPEIMPORTDECL_19_15_7 1) (= true_term (is BITAND_18_23_26 T_int)) (= BITAND_18_23_26 58) (= true_term (is TRYFINALLYSTMT_19_42_7 T_int)) (= TRYFINALLYSTMT_19_42_7 28) (= true_term (is UNARYADD_18_54_26 T_int)) (= UNARYADD_18_54_26 85) (= true_term (is BYTETYPE_17_35_26 T_int)) (= BYTETYPE_17_35_26 102) (= true_term (is CLASSDECLSTMT_19_28_7 T_int)) (= CLASSDECLSTMT_19_28_7 14) (= true_term (is MOD_18_36_26 T_int)) (= MOD_18_36_26 71) (= true_term (is STMTPRAGMA_29_26_26 T_int)) (= STMTPRAGMA_29_26_26 116) (= true_term (is AMBIGUOUSVARIABLEACCESS_19_55_7 T_int)) (= AMBIGUOUSVARIABLEACCESS_19_55_7 41) (= true_term (is COMPILATIONUNIT_19_14_7 T_int)) (= COMPILATIONUNIT_19_14_7 0) (= true_term (is BITXOR_18_22_26 T_int)) (= BITXOR_18_22_26 57) (= true_term (is SWITCHLABEL_19_41_7 T_int)) (= SWITCHLABEL_19_41_7 27) (= true_term (is ASGBITXOR_18_51_26 T_int)) (= ASGBITXOR_18_51_26 84) (= true_term (is punctuationStrings_29_134_22 ?v_0)) (not (= punctuationStrings_29_134_22 null)) (= (typeof punctuationStrings_29_134_22) ?v_0) (= (arrayLength punctuationStrings_29_134_22) 48) (= true_term (is NULLTYPE_17_34_26 T_int)) (= NULLTYPE_17_34_26 101) (= true_term (is VARDECLSTMT_19_27_7 T_int)) (= VARDECLSTMT_19_27_7 13) (= true_term (is DIV_18_35_26 T_int)) (= DIV_18_35_26 70) (= true_term (is otherStrings_29_193_30 ?v_0)) (not (= otherStrings_29_193_30 null)) (= (typeof otherStrings_29_193_30) ?v_0) (= (arrayLength otherStrings_29_193_30) 15) (= true_term (is PARENEXPR_19_54_7 T_int)) (= PARENEXPR_19_54_7 40) (= true_term (is BITOR_18_21_26 T_int)) (= BITOR_18_21_26 56) (= true_term (is MODIFIERPRAGMA_29_25_26 T_int)) (= MODIFIERPRAGMA_29_25_26 115) (= true_term (is noTokens_29_212_27 T_int)) (= true_term (is SKIPSTMT_19_40_7 T_int)) (= SKIPSTMT_19_40_7 26) (= true_term (is ASGBITOR_18_50_26 T_int)) (= ASGBITOR_18_50_26 83) (= true_term (is COMPOUNDNAME_19_67_7 T_int)) (= COMPOUNDNAME_19_67_7 53) (= true_term (is VOIDTYPE_17_33_26 T_int)) (= VOIDTYPE_17_33_26 100) (= true_term (is SWITCHSTMT_19_26_7 T_int)) (= SWITCHSTMT_19_26_7 12) (= true_term (is SUB_18_34_26 T_int)) (= SUB_18_34_26 69) (= true_term (is CASTEXPR_19_53_7 T_int)) (= CASTEXPR_19_53_7 39) (= true_term (is TYPESIG_28_6_28 T_int)) (= TYPESIG_28_6_28 184) (= true_term (is FORSTMT_19_39_7 T_int)) (= FORSTMT_19_39_7 25) (= true_term (is LEXICALPRAGMA_29_24_26 T_int)) (= LEXICALPRAGMA_29_24_26 114) (= true_term (is ASGBITAND_18_49_26 T_int)) (= ASGBITAND_18_49_26 82) (= true_term (is SIMPLENAME_19_66_7 T_int)) (= SIMPLENAME_19_66_7 52) (= true_term (is DOUBLETYPE_17_32_26 T_int)) (= DOUBLETYPE_17_32_26 99) (= true_term (is BLOCKSTMT_19_25_7 T_int)) (= BLOCKSTMT_19_25_7 11) (= true_term (is ADD_18_33_26 T_int)) (= ADD_18_33_26 68) (= true_term (is FIRST_KEYWORD_29_51_26 T_int)) (= FIRST_KEYWORD_29_51_26 133) (= true_term (is INSTANCEOFEXPR_19_52_7 T_int)) (= INSTANCEOFEXPR_19_52_7 38) (= true_term (is AND_18_20_26 T_int)) (= AND_18_20_26 55) (= true_term (is IFSTMT_19_38_7 T_int)) (= IFSTMT_19_38_7 24) (= true_term (is LAST_KEYWORD_29_103_26 T_int)) (= LAST_KEYWORD_29_103_26 183) (= true_term (is ASGURSHIFT_18_48_26 T_int)) (= ASGURSHIFT_18_48_26 81) (= true_term (is ARRAYTYPE_19_65_7 T_int)) (= ARRAYTYPE_19_65_7 51) (= true_term (is FORMALPARADECL_19_24_7 T_int)) (= FORMALPARADECL_19_24_7 10) (= true_term (is FLOATTYPE_17_31_26 T_int)) (= FLOATTYPE_17_31_26 98) (= true_term (is URSHIFT_18_32_26 T_int)) (= URSHIFT_18_32_26 67) (= true_term (is CONDEXPR_19_51_7 T_int)) (= CONDEXPR_19_51_7 37) (= true_term (is OR_18_19_26 T_int)) (= OR_18_19_26 54) (= true_term (is LABELSTMT_19_37_7 T_int)) (= LABELSTMT_19_37_7 23) (= true_term (is NULLLIT_17_45_26 T_int)) (= NULLLIT_17_45_26 111) (= true_term (is ASGRSHIFT_18_47_26 T_int)) (= ASGRSHIFT_18_47_26 80) (= true_term (is NULL_29_82_26 T_int)) (= NULL_29_82_26 163) (= true_term (is TYPENAME_19_64_7 T_int)) (= TYPENAME_19_64_7 50) (= true_term (is CHARTYPE_17_30_26 T_int)) (= CHARTYPE_17_30_26 97) (= true_term (is FIELDDECL_19_23_7 T_int)) (= FIELDDECL_19_23_7 9) (= true_term (is RSHIFT_18_31_26 T_int)) (= RSHIFT_18_31_26 66) (= true_term (is NEWARRAYEXPR_19_50_7 T_int)) (= NEWARRAYEXPR_19_50_7 36) (= true_term (is CONTINUESTMT_19_36_7 T_int)) (= CONTINUESTMT_19_36_7 22) (= true_term (is STRINGLIT_17_44_26 T_int)) (= STRINGLIT_17_44_26 110) (= true_term (is ASGLSHIFT_18_46_26 T_int)) (= ASGLSHIFT_18_46_26 79) (= true_term (is SUPEROBJECTDESIGNATOR_19_63_7 T_int)) (= SUPEROBJECTDESIGNATOR_19_63_7 49) (= true_term (is LONGTYPE_17_29_26 T_int)) (= LONGTYPE_17_29_26 96) (= true_term (is LOCALVARDECL_19_22_7 T_int)) (= LOCALVARDECL_19_22_7 8) (= true_term (is LSHIFT_18_30_26 T_int)) (= LSHIFT_18_30_26 65) (= true_term (is NEWINSTANCEEXPR_19_49_7 T_int)) (= NEWINSTANCEEXPR_19_49_7 35) (= true_term (is POSTFIXDEC_18_63_26 T_int)) (= POSTFIXDEC_18_63_26 92) (= true_term (is BREAKSTMT_19_35_7 T_int)) (= BREAKSTMT_19_35_7 21) (= true_term (is DOUBLELIT_17_43_26 T_int)) (= DOUBLELIT_17_43_26 109) (= true_term (is ASGSUB_18_45_26 T_int)) (= ASGSUB_18_45_26 78) (= true_term (is TYPEOBJECTDESIGNATOR_19_62_7 T_int)) (= TYPEOBJECTDESIGNATOR_19_62_7 48) (= true_term (is INTTYPE_17_28_26 T_int)) (= INTTYPE_17_28_26 95) (= true_term (is INITBLOCK_19_21_7 T_int)) (= INITBLOCK_19_21_7 7) (= true_term (is LT_18_29_26 T_int)) (= LT_18_29_26 64) (= true_term (is ARRAYREFEXPR_19_48_7 T_int)) (= ARRAYREFEXPR_19_48_7 34) (= true_term (is POSTFIXINC_18_62_26 T_int)) (= POSTFIXINC_18_62_26 91) (= true_term (is THROWSTMT_19_34_7 T_int)) (= THROWSTMT_19_34_7 20) (= true_term (is FLOATLIT_17_42_26 T_int)) (= FLOATLIT_17_42_26 108) (= true_term (is ASGADD_18_44_26 T_int)) (= ASGADD_18_44_26 77) (= true_term (is EXPROBJECTDESIGNATOR_19_61_7 T_int)) (= EXPROBJECTDESIGNATOR_19_61_7 47) (= true_term (is otherCodes_29_202_27 ?v_1)) (not (= otherCodes_29_202_27 null)) (= (typeof otherCodes_29_202_27) ?v_1) (= (arrayLength otherCodes_29_202_27) 15) (= true_term (is BOOLEANTYPE_17_27_26 T_int)) (= BOOLEANTYPE_17_27_26 94) (= true_term (is METHODDECL_19_20_7 T_int)) (= METHODDECL_19_20_7 6) (= true_term (is LE_18_28_26 T_int)) (= LE_18_28_26 63) (= true_term (is THISEXPR_19_47_7 T_int)) (= THISEXPR_19_47_7 33) (= true_term (is DEC_18_59_26 T_int)) (= DEC_18_59_26 90) (= true_term (is CHARLIT_17_41_26 T_int)) (= CHARLIT_17_41_26 107) (= true_term (is RETURNSTMT_19_33_7 T_int)) (= RETURNSTMT_19_33_7 19) (= true_term (is punctuationCodes_29_164_19 ?v_1)) (not (= punctuationCodes_29_164_19 null)) (= (typeof punctuationCodes_29_164_19) ?v_1) (= (arrayLength punctuationCodes_29_164_19) 48) (= true_term (is ASGREM_18_43_26 T_int)) (= ASGREM_18_43_26 76) (= true_term (is CLASSLITERAL_19_60_7 T_int)) (= CLASSLITERAL_19_60_7 46) (= true_term (is IDENT_17_25_26 T_int)) (= IDENT_17_25_26 93) (= true_term (is CONSTRUCTORDECL_19_19_7 T_int)) (= CONSTRUCTORDECL_19_19_7 5) (= true_term (is GT_18_27_26 T_int)) (= GT_18_27_26 62) (= true_term (is ARRAYINIT_19_46_7 T_int)) (= ARRAYINIT_19_46_7 32) (= true_term (is INC_18_58_26 T_int)) (= INC_18_58_26 89) (= true_term (is LONGLIT_17_40_26 T_int)) (= LONGLIT_17_40_26 106) (= true_term (is EVALSTMT_19_32_7 T_int)) (= EVALSTMT_19_32_7 18) (= true_term (is ASGDIV_18_42_26 T_int)) (= ASGDIV_18_42_26 75) (= true_term (is METHODINVOCATION_19_59_7 T_int)) (= METHODINVOCATION_19_59_7 45) (= true_term (is INTERFACEDECL_19_18_7 T_int)) (= INTERFACEDECL_19_18_7 4) (= true_term (is GE_18_26_26 T_int)) (= GE_18_26_26 61) (= true_term (is CATCHCLAUSE_19_45_7 T_int)) (= CATCHCLAUSE_19_45_7 31) (= true_term (is BITNOT_18_57_26 T_int)) (= BITNOT_18_57_26 88) (= true_term (is keywordStrings_29_181_30 ?v_0)) (not (= keywordStrings_29_181_30 null)) (= (typeof keywordStrings_29_181_30) ?v_0) (= (arrayLength keywordStrings_29_181_30) 51) (= true_term (is NULL_13_60_26 T_int)) (= NULL_13_60_26 0) (= true_term (is SYNCHRONIZESTMT_19_31_7 T_int)) (= SYNCHRONIZESTMT_19_31_7 17) (= true_term (is INTLIT_17_39_26 T_int)) (= INTLIT_17_39_26 105) (= true_term (is ASGMUL_18_41_26 T_int)) (= ASGMUL_18_41_26 74) (= true_term (is AMBIGUOUSMETHODINVOCATION_19_58_7 T_int)) (= AMBIGUOUSMETHODINVOCATION_19_58_7 44) (= true_term (is CLASSDECL_19_17_7 T_int)) (= CLASSDECL_19_17_7 3) (= true_term (is EQ_18_25_26 T_int)) (= EQ_18_25_26 60) (= true_term (is TYPEMODIFIERPRAGMA_29_28_26 T_int)) (= TYPEMODIFIERPRAGMA_29_28_26 118) (= true_term (is CONSTRUCTORINVOCATION_19_44_7 T_int)) (= CONSTRUCTORINVOCATION_19_44_7 30) (= true_term (is NOT_18_56_26 T_int)) (= NOT_18_56_26 87) (= true_term (is BOOLEANLIT_17_38_26 T_int)) (= BOOLEANLIT_17_38_26 104) (= true_term (is DOSTMT_19_30_7 T_int)) (= DOSTMT_19_30_7 16) (= true_term (is ASSIGN_18_40_26 T_int)) (= ASSIGN_18_40_26 73) (= true_term (is FIELDACCESS_19_57_7 T_int)) (= FIELDACCESS_19_57_7 43) (= true_term (is ONDEMANDIMPORTDECL_19_16_7 T_int)) (= ONDEMANDIMPORTDECL_19_16_7 2) (= true_term (is NE_18_24_26 T_int)) (= NE_18_24_26 59))))
+(declare-fun locOpenBrace_pre_80_36_13 () Int)
+(declare-fun locOpenBrace_80_36_13 () Int)
+(declare-fun TRYCATCHSTMT_pre_19_43_7 () Int)
+(declare-fun UNARYSUB_pre_18_55_26 () Int)
+(declare-fun TYPEDECLELEMPRAGMA_pre_29_27_26 () Int)
+(declare-fun SHORTTYPE_pre_17_36_26 () Int)
+(declare-fun WHILESTMT_pre_19_29_7 () Int)
+(declare-fun body_pre_80_34_19 () Int)
+(declare-fun body_80_34_19 () Int)
+(declare-fun STAR_pre_18_37_26 () Int)
+(declare-fun elems_pre_18_34 () Int)
+(declare-fun elems_18_34 () Int)
+(declare-fun enclosingInstance_pre_122_25_14 () Int)
+(declare-fun enclosingInstance_122_25_14 () Int)
+(declare-fun loc_pre_110_18_13 () Int)
+(declare-fun loc_110_18_13 () Int)
+(declare-fun elements_pre_124_61_33 () Int)
+(declare-fun elements_124_61_33 () Int)
+(declare-fun loc_pre_122_49_13 () Int)
+(declare-fun loc_122_49_13 () Int)
+(declare-fun VARIABLEACCESS_pre_19_56_7 () Int)
+(declare-fun SINGLETYPEIMPORTDECL_pre_19_15_7 () Int)
+(declare-fun BITAND_pre_18_23_26 () Int)
+(declare-fun locCloseBrace_pre_90_25_13 () Int)
+(declare-fun locCloseBrace_90_25_13 () Int)
+(declare-fun loc_pre_139_20_13 () Int)
+(declare-fun loc_139_20_13 () Int)
+(declare-fun TRYFINALLYSTMT_pre_19_42_7 () Int)
+(declare-fun UNARYADD_pre_18_54_26 () Int)
+(declare-fun locFirstSemi_pre_114_36_13 () Int)
+(declare-fun locFirstSemi_114_36_13 () Int)
+(declare-fun elemType_pre_138_18_28 () Int)
+(declare-fun elemType_138_18_28 () Int)
+(declare-fun BYTETYPE_pre_17_35_26 () Int)
+(declare-fun CLASSDECLSTMT_pre_19_28_7 () Int)
+(declare-fun MOD_pre_18_36_26 () Int)
+(declare-fun STMTPRAGMA_pre_29_26_26 () Int)
+(declare-fun op_pre_26_26_13 () Int)
+(declare-fun op_26_26_13 () Int)
+(declare-fun init_pre_123_35_19 () Int)
+(declare-fun init_123_35_19 () Int)
+(declare-fun AMBIGUOUSVARIABLEACCESS_pre_19_55_7 () Int)
+(declare-fun COMPILATIONUNIT_pre_19_14_7 () Int)
+(declare-fun BITXOR_pre_18_22_26 () Int)
+(declare-fun locCloseParen_pre_127_24_13 () Int)
+(declare-fun locCloseParen_127_24_13 () Int)
+(declare-fun locKeyword_pre_119_45_13 () Int)
+(declare-fun locKeyword_119_45_13 () Int)
+(declare-fun SWITCHLABEL_pre_19_41_7 () Int)
+(declare-fun ASGBITXOR_pre_18_51_26 () Int)
+(declare-fun punctuationStrings_pre_29_134_22 () Int)
+(declare-fun locOpenParen_pre_105_23_13 () Int)
+(declare-fun locOpenParen_105_23_13 () Int)
+(declare-fun tag_pre_27_30_13 () Int)
+(declare-fun tag_27_30_13 () Int)
+(declare-fun loc_pre_62_45_13 () Int)
+(declare-fun loc_62_45_13 () Int)
+(declare-fun loc_pre_93_18_13 () Int)
+(declare-fun loc_93_18_13 () Int)
+(declare-fun elements_pre_14_61_36 () Int)
+(declare-fun elements_14_61_36 () Int)
+(declare-fun NULLTYPE_pre_17_34_26 () Int)
+(declare-fun VARDECLSTMT_pre_19_27_7 () Int)
+(declare-fun DIV_pre_18_35_26 () Int)
+(declare-fun locOpenParen_pre_128_18_13 () Int)
+(declare-fun locOpenParen_128_18_13 () Int)
+(declare-fun type_pre_126_18_28 () Int)
+(declare-fun type_126_18_28 () Int)
+(declare-fun count_pre_124_67_33 () Int)
+(declare-fun count_124_67_33 () Int)
+(declare-fun otherStrings_pre_29_193_30 () Int)
+(declare-fun loc_pre_23_50_13 () Int)
+(declare-fun loc_23_50_13 () Int)
+(declare-fun PARENEXPR_pre_19_54_7 () Int)
+(declare-fun loc_pre_83_29_13 () Int)
+(declare-fun loc_83_29_13 () Int)
+(declare-fun BITOR_pre_18_21_26 () Int)
+(declare-fun loc_pre_100_18_13 () Int)
+(declare-fun loc_100_18_13 () Int)
+(declare-fun MODIFIERPRAGMA_pre_29_25_26 () Int)
+(declare-fun noTokens_pre_29_212_27 () Int)
+(declare-fun tag_pre_23_32_13 () Int)
+(declare-fun tag_23_32_13 () Int)
+(declare-fun SKIPSTMT_pre_19_40_7 () Int)
+(declare-fun ASGBITOR_pre_18_50_26 () Int)
+(declare-fun loc_pre_118_23_13 () Int)
+(declare-fun loc_118_23_13 () Int)
+(declare-fun superCall_pre_119_24_17 () Int)
+(declare-fun superCall_119_24_17 () Int)
+(declare-fun locQuestion_pre_125_22_13 () Int)
+(declare-fun locQuestion_125_22_13 () Int)
+(declare-fun COMPOUNDNAME_pre_19_67_7 () Int)
+(declare-fun VOIDTYPE_pre_17_33_26 () Int)
+(declare-fun SWITCHSTMT_pre_19_26_7 () Int)
+(declare-fun SUB_pre_18_34_26 () Int)
+(declare-fun locDot_pre_84_21_13 () Int)
+(declare-fun locDot_84_21_13 () Int)
+(declare-fun CASTEXPR_pre_19_53_7 () Int)
+(declare-fun locSuper_pre_137_20_13 () Int)
+(declare-fun locSuper_137_20_13 () Int)
+(declare-fun TYPESIG_pre_28_6_28 () Int)
+(declare-fun FORSTMT_pre_19_39_7 () Int)
+(declare-fun count_pre_14_67_33 () Int)
+(declare-fun count_14_67_33 () Int)
+(declare-fun locOpenBrace_pre_90_22_13 () Int)
+(declare-fun locOpenBrace_90_22_13 () Int)
+(declare-fun LEXICALPRAGMA_pre_29_24_26 () Int)
+(declare-fun ASGBITAND_pre_18_49_26 () Int)
+(declare-fun locDot_pre_133_23_13 () Int)
+(declare-fun locDot_133_23_13 () Int)
+(declare-fun SIMPLENAME_pre_19_66_7 () Int)
+(declare-fun DOUBLETYPE_pre_17_32_26 () Int)
+(declare-fun BLOCKSTMT_pre_19_25_7 () Int)
+(declare-fun locId_pre_112_20_13 () Int)
+(declare-fun locId_112_20_13 () Int)
+(declare-fun ADD_pre_18_33_26 () Int)
+(declare-fun locId_pre_80_43_13 () Int)
+(declare-fun locId_80_43_13 () Int)
+(declare-fun FIRST_KEYWORD_pre_29_51_26 () Int)
+(declare-fun INSTANCEOFEXPR_pre_19_52_7 () Int)
+(declare-fun loc_pre_113_22_13 () Int)
+(declare-fun loc_113_22_13 () Int)
+(declare-fun AND_pre_18_20_26 () Int)
+(declare-fun locId_pre_77_38_13 () Int)
+(declare-fun locId_77_38_13 () Int)
+(declare-fun IFSTMT_pre_19_38_7 () Int)
+(declare-fun LAST_KEYWORD_pre_29_103_26 () Int)
+(declare-fun ASGURSHIFT_pre_18_48_26 () Int)
+(declare-fun locOpenParen_pre_127_21_13 () Int)
+(declare-fun locOpenParen_127_21_13 () Int)
+(declare-fun locDot_pre_119_41_13 () Int)
+(declare-fun locDot_119_41_13 () Int)
+(declare-fun loc_pre_114_33_13 () Int)
+(declare-fun loc_114_33_13 () Int)
+(declare-fun ARRAYTYPE_pre_19_65_7 () Int)
+(declare-fun locFinally_pre_117_25_13 () Int)
+(declare-fun locFinally_117_25_13 () Int)
+(declare-fun FORMALPARADECL_pre_19_24_7 () Int)
+(declare-fun FLOATTYPE_pre_17_31_26 () Int)
+(declare-fun URSHIFT_pre_18_32_26 () Int)
+(declare-fun locOpenParen_pre_132_28_13 () Int)
+(declare-fun locOpenParen_132_28_13 () Int)
+(declare-fun locOp_pre_25_43_13 () Int)
+(declare-fun locOp_25_43_13 () Int)
+(declare-fun value_pre_23_45_16 () Int)
+(declare-fun value_23_45_16 () Int)
+(declare-fun locId_pre_130_24_13 () Int)
+(declare-fun locId_130_24_13 () Int)
+(declare-fun CONDEXPR_pre_19_51_7 () Int)
+(declare-fun elements_pre_140_61_39 () Int)
+(declare-fun elements_140_61_39 () Int)
+(declare-fun locCloseBrace_pre_24_13 () Int)
+(declare-fun locCloseBrace_24_13 () Int)
+(declare-fun OR_pre_18_19_26 () Int)
+(declare-fun LABELSTMT_pre_19_37_7 () Int)
+(declare-fun NULLLIT_pre_17_45_26 () Int)
+(declare-fun ASGRSHIFT_pre_18_47_26 () Int)
+(declare-fun locGuardOpenParen_pre_103_23_13 () Int)
+(declare-fun locGuardOpenParen_103_23_13 () Int)
+(declare-fun elements_pre_73_61_39 () Int)
+(declare-fun elements_73_61_39 () Int)
+(declare-fun loc_pre_108_18_13 () Int)
+(declare-fun loc_108_18_13 () Int)
+(declare-fun NULL_pre_29_82_26 () Int)
+(declare-fun TYPENAME_pre_19_64_7 () Int)
+(declare-fun CHARTYPE_pre_17_30_26 () Int)
+(declare-fun FIELDDECL_pre_19_23_7 () Int)
+(declare-fun RSHIFT_pre_18_31_26 () Int)
+(declare-fun locCloseBrace_pre_62_54_13 () Int)
+(declare-fun locCloseBrace_62_54_13 () Int)
+(declare-fun loc_pre_105_20_13 () Int)
+(declare-fun loc_105_20_13 () Int)
+(declare-fun loc_pre_123_49_13 () Int)
+(declare-fun loc_123_49_13 () Int)
+(declare-fun loc_pre_104_20_13 () Int)
+(declare-fun loc_104_20_13 () Int)
+(declare-fun NEWARRAYEXPR_pre_19_50_7 () Int)
+(declare-fun locType_pre_79_21_13 () Int)
+(declare-fun locType_79_21_13 () Int)
+(declare-fun type_pre_123_24_28 () Int)
+(declare-fun type_123_24_28 () Int)
+(declare-fun I_will_establish_invariants_afterwards_pre_5_236_34 () Int)
+(declare-fun I_will_establish_invariants_afterwards_5_236_34 () Int)
+(declare-fun CONTINUESTMT_pre_19_36_7 () Int)
+(declare-fun STRINGLIT_pre_17_44_26 () Int)
+(declare-fun ASGLSHIFT_pre_18_46_26 () Int)
+(declare-fun SUPEROBJECTDESIGNATOR_pre_19_63_7 () Int)
+(declare-fun LONGTYPE_pre_17_29_26 () Int)
+(declare-fun LOCALVARDECL_pre_19_22_7 () Int)
+(declare-fun LSHIFT_pre_18_30_26 () Int)
+(declare-fun loc_pre_80_40_13 () Int)
+(declare-fun loc_80_40_13 () Int)
+(declare-fun loc_pre_120_22_13 () Int)
+(declare-fun loc_120_22_13 () Int)
+(declare-fun NEWINSTANCEEXPR_pre_19_49_7 () Int)
+(declare-fun loc_pre_129_22_13 () Int)
+(declare-fun loc_129_22_13 () Int)
+(declare-fun locOpenBrackets_pre_123_65_29 () Int)
+(declare-fun locOpenBrackets_123_65_29 () Int)
+(declare-fun POSTFIXDEC_pre_18_63_26 () Int)
+(declare-fun BREAKSTMT_pre_19_35_7 () Int)
+(declare-fun type_pre_77_35_28 () Int)
+(declare-fun type_77_35_28 () Int)
+(declare-fun count_pre_140_67_33 () Int)
+(declare-fun count_140_67_33 () Int)
+(declare-fun DOUBLELIT_pre_17_43_26 () Int)
+(declare-fun ASGSUB_pre_18_45_26 () Int)
+(declare-fun ids_pre_141_19_37 () Int)
+(declare-fun ids_141_19_37 () Int)
+(declare-fun type_pre_127_18_28 () Int)
+(declare-fun type_127_18_28 () Int)
+(declare-fun TYPEOBJECTDESIGNATOR_pre_19_62_7 () Int)
+(declare-fun count_pre_73_67_33 () Int)
+(declare-fun count_73_67_33 () Int)
+(declare-fun INTTYPE_pre_17_28_26 () Int)
+(declare-fun INITBLOCK_pre_19_21_7 () Int)
+(declare-fun LT_pre_18_29_26 () Int)
+(declare-fun locId_pre_132_25_13 () Int)
+(declare-fun locId_132_25_13 () Int)
+(declare-fun type_pre_133_20_28 () Int)
+(declare-fun type_133_20_28 () Int)
+(declare-fun ARRAYREFEXPR_pre_19_48_7 () Int)
+(declare-fun POSTFIXINC_pre_18_62_26 () Int)
+(declare-fun loc_pre_63_30_13 () Int)
+(declare-fun loc_63_30_13 () Int)
+(declare-fun THROWSTMT_pre_19_34_7 () Int)
+(declare-fun FLOATLIT_pre_17_42_26 () Int)
+(declare-fun ASGADD_pre_18_44_26 () Int)
+(declare-fun length_pre_81_50_25 () Int)
+(declare-fun length_81_50_25 () Int)
+(declare-fun enclosingInstance_pre_119_37_14 () Int)
+(declare-fun enclosingInstance_119_37_14 () Int)
+(declare-fun loc_pre_116_29_13 () Int)
+(declare-fun loc_116_29_13 () Int)
+(declare-fun loc_pre_117_22_13 () Int)
+(declare-fun loc_117_22_13 () Int)
+(declare-fun EXPROBJECTDESIGNATOR_pre_19_61_7 () Int)
+(declare-fun otherCodes_pre_29_202_27 () Int)
+(declare-fun BOOLEANTYPE_pre_17_27_26 () Int)
+(declare-fun METHODDECL_pre_19_20_7 () Int)
+(declare-fun LE_pre_18_28_26 () Int)
+(declare-fun locOpenBrace_pre_21_13 () Int)
+(declare-fun locOpenBrace_21_13 () Int)
+(declare-fun locOpenBrace_pre_62_51_13 () Int)
+(declare-fun locOpenBrace_62_51_13 () Int)
+(declare-fun loc_pre_107_18_13 () Int)
+(declare-fun loc_107_18_13 () Int)
+(declare-fun dims_pre_123_45_31 () Int)
+(declare-fun dims_123_45_31 () Int)
+(declare-fun THISEXPR_pre_19_47_7 () Int)
+(declare-fun DEC_pre_18_59_26 () Int)
+(declare-fun locCloseBracket_pre_121_23_13 () Int)
+(declare-fun locCloseBracket_121_23_13 () Int)
+(declare-fun CHARLIT_pre_17_41_26 () Int)
+(declare-fun RETURNSTMT_pre_19_33_7 () Int)
+(declare-fun locOp_pre_26_32_13 () Int)
+(declare-fun locOp_26_32_13 () Int)
+(declare-fun punctuationCodes_pre_29_164_19 () Int)
+(declare-fun ASGREM_pre_18_43_26 () Int)
+(declare-fun CLASSLITERAL_pre_19_60_7 () Int)
+(declare-fun IDENT_pre_17_25_26 () Int)
+(declare-fun CONSTRUCTORDECL_pre_19_19_7 () Int)
+(declare-fun locDot_pre_122_29_13 () Int)
+(declare-fun locDot_122_29_13 () Int)
+(declare-fun GT_pre_18_27_26 () Int)
+(declare-fun locOpenBracket_pre_138_21_13 () Int)
+(declare-fun locOpenBracket_138_21_13 () Int)
+(declare-fun elements_pre_72_61_38 () Int)
+(declare-fun elements_72_61_38 () Int)
+(declare-fun ARRAYINIT_pre_19_46_7 () Int)
+(declare-fun INC_pre_18_58_26 () Int)
+(declare-fun owner_pre_8_35_28 () Int)
+(declare-fun owner_8_35_28 () Int)
+(declare-fun locIds_pre_141_25_29 () Int)
+(declare-fun locIds_141_25_29 () Int)
+(declare-fun loc_pre_103_20_13 () Int)
+(declare-fun loc_103_20_13 () Int)
+(declare-fun LONGLIT_pre_17_40_26 () Int)
+(declare-fun EVALSTMT_pre_19_32_7 () Int)
+(declare-fun ASGDIV_pre_18_42_26 () Int)
+(declare-fun locOpenParen_pre_122_52_13 () Int)
+(declare-fun locOpenParen_122_52_13 () Int)
+(declare-fun METHODINVOCATION_pre_19_59_7 () Int)
+(declare-fun tokenType_pre_78_90_8 () Int)
+(declare-fun tokenType_78_90_8 () Int)
+(declare-fun INTERFACEDECL_pre_19_18_7 () Int)
+(declare-fun GE_pre_18_26_26 () Int)
+(declare-fun locCloseParen_pre_128_21_13 () Int)
+(declare-fun locCloseParen_128_21_13 () Int)
+(declare-fun CATCHCLAUSE_pre_19_45_7 () Int)
+(declare-fun BITNOT_pre_18_57_26 () Int)
+(declare-fun keywordStrings_pre_29_181_30 () Int)
+(declare-fun locOpenParen_pre_131_30_13 () Int)
+(declare-fun locOpenParen_131_30_13 () Int)
+(declare-fun NULL_pre_13_60_26 () Int)
+(declare-fun SYNCHRONIZESTMT_pre_19_31_7 () Int)
+(declare-fun INTLIT_pre_17_39_26 () Int)
+(declare-fun ASGMUL_pre_18_41_26 () Int)
+(declare-fun loc_pre_115_16_13 () Int)
+(declare-fun loc_115_16_13 () Int)
+(declare-fun AMBIGUOUSMETHODINVOCATION_pre_19_58_7 () Int)
+(declare-fun CLASSDECL_pre_19_17_7 () Int)
+(declare-fun EQ_pre_18_25_26 () Int)
+(declare-fun locOpenParen_pre_119_48_13 () Int)
+(declare-fun locOpenParen_119_48_13 () Int)
+(declare-fun loc_pre_27_35_13 () Int)
+(declare-fun loc_27_35_13 () Int)
+(declare-fun TYPEMODIFIERPRAGMA_pre_29_28_26 () Int)
+(declare-fun locId_pre_62_48_13 () Int)
+(declare-fun locId_62_48_13 () Int)
+(declare-fun CONSTRUCTORINVOCATION_pre_19_44_7 () Int)
+(declare-fun count_pre_72_67_33 () Int)
+(declare-fun count_72_67_33 () Int)
+(declare-fun NOT_pre_18_56_26 () Int)
+(declare-fun returnType_pre_79_18_28 () Int)
+(declare-fun returnType_79_18_28 () Int)
+(declare-fun locDots_pre_141_31_29 () Int)
+(declare-fun locDots_141_31_29 () Int)
+(declare-fun BOOLEANLIT_pre_17_38_26 () Int)
+(declare-fun DOSTMT_pre_19_30_7 () Int)
+(declare-fun ASSIGN_pre_18_40_26 () Int)
+(declare-fun loc_pre_126_21_13 () Int)
+(declare-fun loc_126_21_13 () Int)
+(declare-fun op_pre_25_35_13 () Int)
+(declare-fun op_25_35_13 () Int)
+(declare-fun FIELDACCESS_pre_19_57_7 () Int)
+(declare-fun syntax_pre_22_28_29 () Int)
+(declare-fun syntax_22_28_29 () Int)
+(declare-fun ONDEMANDIMPORTDECL_pre_19_16_7 () Int)
+(declare-fun NE_pre_18_24_26 () Int)
+(declare-fun locColon_pre_125_25_13 () Int)
+(declare-fun locColon_125_25_13 () Int)
+(declare-fun locOpenBracket_pre_121_20_13 () Int)
+(declare-fun locOpenBracket_121_20_13 () Int)
+(declare-fun elems_pre () Int)
+(declare-fun elems () Int)
+(declare-fun LS () Int)
+(declare-fun alloc_pre () Int)
+(declare-fun elems_91_56 () Int)
+(declare-fun locOpenBrace_91_67 () Int)
+(declare-fun locCloseBrace_91_85 () Int)
+(declare-fun after_93_24_93_24 () Int)
+(declare-fun RES_93_24_93_24 () Int)
+(declare-fun EC_93_24_93_24 () Int)
+(declare-fun ecReturn () Int)
+(declare-fun elems_94_12 () Int)
+(declare-fun locOpenBrace_95_12 () Int)
+(declare-fun locCloseBrace_96_12 () Int)
+(declare-fun brokenObj_1_FOO () Int)
+(assert (let ((?v_0 (array T_java_lang_String)) (?v_1 (array T_int)) (?v_2 (= true_term true_term)) (?v_5 (< alloc after_93_24_93_24)) (?v_3 (not (= RES_93_24_93_24 null))) (?v_6 (not (= true_term (isAllocated RES_93_24_93_24 alloc)))) (?v_7 (= true_term (is RES_93_24_93_24 T_javafe_ast_ArrayInit))) (?v_8 (= true_term (isAllocated RES_93_24_93_24 after_93_24_93_24))) (?v_9 (= EC_93_24_93_24 ecReturn)) (?v_10 (= (S_select owner_8_35_28 RES_93_24_93_24) null)) (?v_11 (= (typeof RES_93_24_93_24) T_javafe_ast_ArrayInit))) (let ((?v_4 (not ?v_3)) (?v_12 (= elems_94_12 (S_store elems_18_34 RES_93_24_93_24 elems_91_56))) (?v_13 (= locOpenBrace_95_12 (S_store locOpenBrace_21_13 RES_93_24_93_24 locOpenBrace_91_67))) (?v_14 (= ecReturn ecReturn))) (let ((?v_15 (=> ?v_14 ?v_3))) (not (=> true (=> (and (= locOpenBrace_pre_80_36_13 locOpenBrace_80_36_13) (= locOpenBrace_80_36_13 (asField locOpenBrace_80_36_13 T_int)) (= TRYCATCHSTMT_pre_19_43_7 TRYCATCHSTMT_19_43_7) (= true_term (is TRYCATCHSTMT_19_43_7 T_int)) (= UNARYSUB_pre_18_55_26 UNARYSUB_18_55_26) (= true_term (is UNARYSUB_18_55_26 T_int)) (= TYPEDECLELEMPRAGMA_pre_29_27_26 TYPEDECLELEMPRAGMA_29_27_26) (= true_term (is TYPEDECLELEMPRAGMA_29_27_26 T_int)) (= SHORTTYPE_pre_17_36_26 SHORTTYPE_17_36_26) (= true_term (is SHORTTYPE_17_36_26 T_int)) (= WHILESTMT_pre_19_29_7 WHILESTMT_19_29_7) (= true_term (is WHILESTMT_19_29_7 T_int)) (= body_pre_80_34_19 body_80_34_19) (= body_80_34_19 (asField body_80_34_19 T_javafe_ast_BlockStmt)) (< (fClosedTime body_80_34_19) alloc) (= STAR_pre_18_37_26 STAR_18_37_26) (= true_term (is STAR_18_37_26 T_int)) (= elems_pre_18_34 elems_18_34) (= elems_18_34 (asField elems_18_34 T_javafe_ast_VarInitVec)) (< (fClosedTime elems_18_34) alloc) true (= enclosingInstance_pre_122_25_14 enclosingInstance_122_25_14) (= enclosingInstance_122_25_14 (asField enclosingInstance_122_25_14 T_javafe_ast_Expr)) (< (fClosedTime enclosingInstance_122_25_14) alloc) (= loc_pre_110_18_13 loc_110_18_13) (= loc_110_18_13 (asField loc_110_18_13 T_int)) (= elements_pre_124_61_33 elements_124_61_33) (= elements_124_61_33 (asField elements_124_61_33 (array T_javafe_ast_Expr))) (< (fClosedTime elements_124_61_33) alloc) true (= loc_pre_122_49_13 loc_122_49_13) (= loc_122_49_13 (asField loc_122_49_13 T_int)) (= VARIABLEACCESS_pre_19_56_7 VARIABLEACCESS_19_56_7) (= true_term (is VARIABLEACCESS_19_56_7 T_int)) (= SINGLETYPEIMPORTDECL_pre_19_15_7 SINGLETYPEIMPORTDECL_19_15_7) (= true_term (is SINGLETYPEIMPORTDECL_19_15_7 T_int)) (= BITAND_pre_18_23_26 BITAND_18_23_26) (= true_term (is BITAND_18_23_26 T_int)) (= locCloseBrace_pre_90_25_13 locCloseBrace_90_25_13) (= locCloseBrace_90_25_13 (asField locCloseBrace_90_25_13 T_int)) (= loc_pre_139_20_13 loc_139_20_13) (= loc_139_20_13 (asField loc_139_20_13 T_int)) (= TRYFINALLYSTMT_pre_19_42_7 TRYFINALLYSTMT_19_42_7) (= true_term (is TRYFINALLYSTMT_19_42_7 T_int)) (= UNARYADD_pre_18_54_26 UNARYADD_18_54_26) (= true_term (is UNARYADD_18_54_26 T_int)) (= locFirstSemi_pre_114_36_13 locFirstSemi_114_36_13) (= locFirstSemi_114_36_13 (asField locFirstSemi_114_36_13 T_int)) (= elemType_pre_138_18_28 elemType_138_18_28) (= elemType_138_18_28 (asField elemType_138_18_28 T_javafe_ast_Type)) (< (fClosedTime elemType_138_18_28) alloc) true (= BYTETYPE_pre_17_35_26 BYTETYPE_17_35_26) (= true_term (is BYTETYPE_17_35_26 T_int)) (= CLASSDECLSTMT_pre_19_28_7 CLASSDECLSTMT_19_28_7) (= true_term (is CLASSDECLSTMT_19_28_7 T_int)) (= MOD_pre_18_36_26 MOD_18_36_26) (= true_term (is MOD_18_36_26 T_int)) (= STMTPRAGMA_pre_29_26_26 STMTPRAGMA_29_26_26) (= true_term (is STMTPRAGMA_29_26_26 T_int)) (= op_pre_26_26_13 op_26_26_13) (= op_26_26_13 (asField op_26_26_13 T_int)) (= init_pre_123_35_19 init_123_35_19) (= init_123_35_19 (asField init_123_35_19 T_javafe_ast_ArrayInit)) (< (fClosedTime init_123_35_19) alloc) (= AMBIGUOUSVARIABLEACCESS_pre_19_55_7 AMBIGUOUSVARIABLEACCESS_19_55_7) (= true_term (is AMBIGUOUSVARIABLEACCESS_19_55_7 T_int)) (= COMPILATIONUNIT_pre_19_14_7 COMPILATIONUNIT_19_14_7) (= true_term (is COMPILATIONUNIT_19_14_7 T_int)) (= BITXOR_pre_18_22_26 BITXOR_18_22_26) (= true_term (is BITXOR_18_22_26 T_int)) (= locCloseParen_pre_127_24_13 locCloseParen_127_24_13) (= locCloseParen_127_24_13 (asField locCloseParen_127_24_13 T_int)) (= locKeyword_pre_119_45_13 locKeyword_119_45_13) (= locKeyword_119_45_13 (asField locKeyword_119_45_13 T_int)) (= SWITCHLABEL_pre_19_41_7 SWITCHLABEL_19_41_7) (= true_term (is SWITCHLABEL_19_41_7 T_int)) (= ASGBITXOR_pre_18_51_26 ASGBITXOR_18_51_26) (= true_term (is ASGBITXOR_18_51_26 T_int)) (= punctuationStrings_pre_29_134_22 punctuationStrings_29_134_22) (= true_term (is punctuationStrings_29_134_22 ?v_0)) (= true_term (isAllocated punctuationStrings_29_134_22 alloc)) (= locOpenParen_pre_105_23_13 locOpenParen_105_23_13) (= locOpenParen_105_23_13 (asField locOpenParen_105_23_13 T_int)) (= tag_pre_27_30_13 tag_27_30_13) (= tag_27_30_13 (asField tag_27_30_13 T_int)) (= loc_pre_62_45_13 loc_62_45_13) (= loc_62_45_13 (asField loc_62_45_13 T_int)) (= loc_pre_93_18_13 loc_93_18_13) (= loc_93_18_13 (asField loc_93_18_13 T_int)) (= elements_pre_14_61_36 elements_14_61_36) (= elements_14_61_36 (asField elements_14_61_36 (array T_javafe_ast_VarInit))) (< (fClosedTime elements_14_61_36) alloc) true (= NULLTYPE_pre_17_34_26 NULLTYPE_17_34_26) (= true_term (is NULLTYPE_17_34_26 T_int)) (= VARDECLSTMT_pre_19_27_7 VARDECLSTMT_19_27_7) (= true_term (is VARDECLSTMT_19_27_7 T_int)) (= DIV_pre_18_35_26 DIV_18_35_26) (= true_term (is DIV_18_35_26 T_int)) (= locOpenParen_pre_128_18_13 locOpenParen_128_18_13) (= locOpenParen_128_18_13 (asField locOpenParen_128_18_13 T_int)) (= type_pre_126_18_28 type_126_18_28) (= type_126_18_28 (asField type_126_18_28 T_javafe_ast_Type)) (< (fClosedTime type_126_18_28) alloc) true (= count_pre_124_67_33 count_124_67_33) (= count_124_67_33 (asField count_124_67_33 T_int)) (= otherStrings_pre_29_193_30 otherStrings_29_193_30) (= true_term (is otherStrings_29_193_30 ?v_0)) (= true_term (isAllocated otherStrings_29_193_30 alloc)) (= loc_pre_23_50_13 loc_23_50_13) (= loc_23_50_13 (asField loc_23_50_13 T_int)) (= PARENEXPR_pre_19_54_7 PARENEXPR_19_54_7) (= true_term (is PARENEXPR_19_54_7 T_int)) (= loc_pre_83_29_13 loc_83_29_13) (= loc_83_29_13 (asField loc_83_29_13 T_int)) (= BITOR_pre_18_21_26 BITOR_18_21_26) (= true_term (is BITOR_18_21_26 T_int)) (= loc_pre_100_18_13 loc_100_18_13) (= loc_100_18_13 (asField loc_100_18_13 T_int)) (= MODIFIERPRAGMA_pre_29_25_26 MODIFIERPRAGMA_29_25_26) (= true_term (is MODIFIERPRAGMA_29_25_26 T_int)) (= noTokens_pre_29_212_27 noTokens_29_212_27) (= true_term (is noTokens_29_212_27 T_int)) (= tag_pre_23_32_13 tag_23_32_13) (= tag_23_32_13 (asField tag_23_32_13 T_int)) (= SKIPSTMT_pre_19_40_7 SKIPSTMT_19_40_7) (= true_term (is SKIPSTMT_19_40_7 T_int)) (= ASGBITOR_pre_18_50_26 ASGBITOR_18_50_26) (= true_term (is ASGBITOR_18_50_26 T_int)) (= loc_pre_118_23_13 loc_118_23_13) (= loc_118_23_13 (asField loc_118_23_13 T_int)) (= superCall_pre_119_24_17 superCall_119_24_17) (= superCall_119_24_17 (asField superCall_119_24_17 T_boolean)) (= locQuestion_pre_125_22_13 locQuestion_125_22_13) (= locQuestion_125_22_13 (asField locQuestion_125_22_13 T_int)) (= COMPOUNDNAME_pre_19_67_7 COMPOUNDNAME_19_67_7) (= true_term (is COMPOUNDNAME_19_67_7 T_int)) (= VOIDTYPE_pre_17_33_26 VOIDTYPE_17_33_26) (= true_term (is VOIDTYPE_17_33_26 T_int)) (= SWITCHSTMT_pre_19_26_7 SWITCHSTMT_19_26_7) (= true_term (is SWITCHSTMT_19_26_7 T_int)) (= SUB_pre_18_34_26 SUB_18_34_26) (= true_term (is SUB_18_34_26 T_int)) (= locDot_pre_84_21_13 locDot_84_21_13) (= locDot_84_21_13 (asField locDot_84_21_13 T_int)) (= CASTEXPR_pre_19_53_7 CASTEXPR_19_53_7) (= true_term (is CASTEXPR_19_53_7 T_int)) (= locSuper_pre_137_20_13 locSuper_137_20_13) (= locSuper_137_20_13 (asField locSuper_137_20_13 T_int)) (= TYPESIG_pre_28_6_28 TYPESIG_28_6_28) (= true_term (is TYPESIG_28_6_28 T_int)) (= FORSTMT_pre_19_39_7 FORSTMT_19_39_7) (= true_term (is FORSTMT_19_39_7 T_int)) (= count_pre_14_67_33 count_14_67_33) (= count_14_67_33 (asField count_14_67_33 T_int)) (= locOpenBrace_pre_90_22_13 locOpenBrace_90_22_13) (= locOpenBrace_90_22_13 (asField locOpenBrace_90_22_13 T_int)) (= LEXICALPRAGMA_pre_29_24_26 LEXICALPRAGMA_29_24_26) (= true_term (is LEXICALPRAGMA_29_24_26 T_int)) (= ASGBITAND_pre_18_49_26 ASGBITAND_18_49_26) (= true_term (is ASGBITAND_18_49_26 T_int)) (= locDot_pre_133_23_13 locDot_133_23_13) (= locDot_133_23_13 (asField locDot_133_23_13 T_int)) (= SIMPLENAME_pre_19_66_7 SIMPLENAME_19_66_7) (= true_term (is SIMPLENAME_19_66_7 T_int)) (= DOUBLETYPE_pre_17_32_26 DOUBLETYPE_17_32_26) (= true_term (is DOUBLETYPE_17_32_26 T_int)) (= BLOCKSTMT_pre_19_25_7 BLOCKSTMT_19_25_7) (= true_term (is BLOCKSTMT_19_25_7 T_int)) (= locId_pre_112_20_13 locId_112_20_13) (= locId_112_20_13 (asField locId_112_20_13 T_int)) (= ADD_pre_18_33_26 ADD_18_33_26) (= true_term (is ADD_18_33_26 T_int)) (= locId_pre_80_43_13 locId_80_43_13) (= locId_80_43_13 (asField locId_80_43_13 T_int)) (= FIRST_KEYWORD_pre_29_51_26 FIRST_KEYWORD_29_51_26) (= true_term (is FIRST_KEYWORD_29_51_26 T_int)) (= INSTANCEOFEXPR_pre_19_52_7 INSTANCEOFEXPR_19_52_7) (= true_term (is INSTANCEOFEXPR_19_52_7 T_int)) (= loc_pre_113_22_13 loc_113_22_13) (= loc_113_22_13 (asField loc_113_22_13 T_int)) (= AND_pre_18_20_26 AND_18_20_26) (= true_term (is AND_18_20_26 T_int)) (= locId_pre_77_38_13 locId_77_38_13) (= locId_77_38_13 (asField locId_77_38_13 T_int)) (= IFSTMT_pre_19_38_7 IFSTMT_19_38_7) (= true_term (is IFSTMT_19_38_7 T_int)) (= LAST_KEYWORD_pre_29_103_26 LAST_KEYWORD_29_103_26) (= true_term (is LAST_KEYWORD_29_103_26 T_int)) (= ASGURSHIFT_pre_18_48_26 ASGURSHIFT_18_48_26) (= true_term (is ASGURSHIFT_18_48_26 T_int)) (= locOpenParen_pre_127_21_13 locOpenParen_127_21_13) (= locOpenParen_127_21_13 (asField locOpenParen_127_21_13 T_int)) (= locDot_pre_119_41_13 locDot_119_41_13) (= locDot_119_41_13 (asField locDot_119_41_13 T_int)) (= loc_pre_114_33_13 loc_114_33_13) (= loc_114_33_13 (asField loc_114_33_13 T_int)) (= ARRAYTYPE_pre_19_65_7 ARRAYTYPE_19_65_7) (= true_term (is ARRAYTYPE_19_65_7 T_int)) (= locFinally_pre_117_25_13 locFinally_117_25_13) (= locFinally_117_25_13 (asField locFinally_117_25_13 T_int)) (= FORMALPARADECL_pre_19_24_7 FORMALPARADECL_19_24_7) (= true_term (is FORMALPARADECL_19_24_7 T_int)) (= FLOATTYPE_pre_17_31_26 FLOATTYPE_17_31_26) (= true_term (is FLOATTYPE_17_31_26 T_int)) (= URSHIFT_pre_18_32_26 URSHIFT_18_32_26) (= true_term (is URSHIFT_18_32_26 T_int)) (= locOpenParen_pre_132_28_13 locOpenParen_132_28_13) (= locOpenParen_132_28_13 (asField locOpenParen_132_28_13 T_int)) (= locOp_pre_25_43_13 locOp_25_43_13) (= locOp_25_43_13 (asField locOp_25_43_13 T_int)) (= value_pre_23_45_16 value_23_45_16) (= value_23_45_16 (asField value_23_45_16 T_java_lang_Object)) (< (fClosedTime value_23_45_16) alloc) (= locId_pre_130_24_13 locId_130_24_13) (= locId_130_24_13 (asField locId_130_24_13 T_int)) (= CONDEXPR_pre_19_51_7 CONDEXPR_19_51_7) (= true_term (is CONDEXPR_19_51_7 T_int)) (= elements_pre_140_61_39 elements_140_61_39) (= elements_140_61_39 (asField elements_140_61_39 (array T_javafe_ast_Identifier))) (< (fClosedTime elements_140_61_39) alloc) true (= locCloseBrace_pre_24_13 locCloseBrace_24_13) (= locCloseBrace_24_13 (asField locCloseBrace_24_13 T_int)) (= OR_pre_18_19_26 OR_18_19_26) (= true_term (is OR_18_19_26 T_int)) (= LABELSTMT_pre_19_37_7 LABELSTMT_19_37_7) (= true_term (is LABELSTMT_19_37_7 T_int)) (= NULLLIT_pre_17_45_26 NULLLIT_17_45_26) (= true_term (is NULLLIT_17_45_26 T_int)) (= ASGRSHIFT_pre_18_47_26 ASGRSHIFT_18_47_26) (= true_term (is ASGRSHIFT_18_47_26 T_int)) (= locGuardOpenParen_pre_103_23_13 locGuardOpenParen_103_23_13) (= locGuardOpenParen_103_23_13 (asField locGuardOpenParen_103_23_13 T_int)) (= elements_pre_73_61_39 elements_73_61_39) (= elements_73_61_39 (asField elements_73_61_39 (array T_javafe_ast_MethodDecl))) (< (fClosedTime elements_73_61_39) alloc) true (= loc_pre_108_18_13 loc_108_18_13) (= loc_108_18_13 (asField loc_108_18_13 T_int)) (= NULL_pre_29_82_26 NULL_29_82_26) (= true_term (is NULL_29_82_26 T_int)) (= TYPENAME_pre_19_64_7 TYPENAME_19_64_7) (= true_term (is TYPENAME_19_64_7 T_int)) (= CHARTYPE_pre_17_30_26 CHARTYPE_17_30_26) (= true_term (is CHARTYPE_17_30_26 T_int)) (= FIELDDECL_pre_19_23_7 FIELDDECL_19_23_7) (= true_term (is FIELDDECL_19_23_7 T_int)) (= RSHIFT_pre_18_31_26 RSHIFT_18_31_26) (= true_term (is RSHIFT_18_31_26 T_int)) (= locCloseBrace_pre_62_54_13 locCloseBrace_62_54_13) (= locCloseBrace_62_54_13 (asField locCloseBrace_62_54_13 T_int)) (= loc_pre_105_20_13 loc_105_20_13) (= loc_105_20_13 (asField loc_105_20_13 T_int)) (= loc_pre_123_49_13 loc_123_49_13) (= loc_123_49_13 (asField loc_123_49_13 T_int)) (= loc_pre_104_20_13 loc_104_20_13) (= loc_104_20_13 (asField loc_104_20_13 T_int)) (= NEWARRAYEXPR_pre_19_50_7 NEWARRAYEXPR_19_50_7) (= true_term (is NEWARRAYEXPR_19_50_7 T_int)) (= locType_pre_79_21_13 locType_79_21_13) (= locType_79_21_13 (asField locType_79_21_13 T_int)) (= type_pre_123_24_28 type_123_24_28) (= type_123_24_28 (asField type_123_24_28 T_javafe_ast_Type)) (< (fClosedTime type_123_24_28) alloc) true (= I_will_establish_invariants_afterwards_pre_5_236_34 I_will_establish_invariants_afterwards_5_236_34) (= true_term (is I_will_establish_invariants_afterwards_5_236_34 T_boolean)) (= CONTINUESTMT_pre_19_36_7 CONTINUESTMT_19_36_7) (= true_term (is CONTINUESTMT_19_36_7 T_int)) (= STRINGLIT_pre_17_44_26 STRINGLIT_17_44_26) (= true_term (is STRINGLIT_17_44_26 T_int)) (= ASGLSHIFT_pre_18_46_26 ASGLSHIFT_18_46_26) (= true_term (is ASGLSHIFT_18_46_26 T_int)) (= SUPEROBJECTDESIGNATOR_pre_19_63_7 SUPEROBJECTDESIGNATOR_19_63_7) (= true_term (is SUPEROBJECTDESIGNATOR_19_63_7 T_int)) (= LONGTYPE_pre_17_29_26 LONGTYPE_17_29_26) (= true_term (is LONGTYPE_17_29_26 T_int)) (= LOCALVARDECL_pre_19_22_7 LOCALVARDECL_19_22_7) (= true_term (is LOCALVARDECL_19_22_7 T_int)) (= LSHIFT_pre_18_30_26 LSHIFT_18_30_26) (= true_term (is LSHIFT_18_30_26 T_int)) (= loc_pre_80_40_13 loc_80_40_13) (= loc_80_40_13 (asField loc_80_40_13 T_int)) (= loc_pre_120_22_13 loc_120_22_13) (= loc_120_22_13 (asField loc_120_22_13 T_int)) (= NEWINSTANCEEXPR_pre_19_49_7 NEWINSTANCEEXPR_19_49_7) (= true_term (is NEWINSTANCEEXPR_19_49_7 T_int)) (= loc_pre_129_22_13 loc_129_22_13) (= loc_129_22_13 (asField loc_129_22_13 T_int)) (= locOpenBrackets_pre_123_65_29 locOpenBrackets_123_65_29) (= locOpenBrackets_123_65_29 (asField locOpenBrackets_123_65_29 ?v_1)) (< (fClosedTime locOpenBrackets_123_65_29) alloc) true (= POSTFIXDEC_pre_18_63_26 POSTFIXDEC_18_63_26) (= true_term (is POSTFIXDEC_18_63_26 T_int)) (= BREAKSTMT_pre_19_35_7 BREAKSTMT_19_35_7) (= true_term (is BREAKSTMT_19_35_7 T_int)) (= type_pre_77_35_28 type_77_35_28) (= type_77_35_28 (asField type_77_35_28 T_javafe_ast_Type)) (< (fClosedTime type_77_35_28) alloc) true (= count_pre_140_67_33 count_140_67_33) (= count_140_67_33 (asField count_140_67_33 T_int)) (= DOUBLELIT_pre_17_43_26 DOUBLELIT_17_43_26) (= true_term (is DOUBLELIT_17_43_26 T_int)) (= ASGSUB_pre_18_45_26 ASGSUB_18_45_26) (= true_term (is ASGSUB_18_45_26 T_int)) (= ids_pre_141_19_37 ids_141_19_37) (= ids_141_19_37 (asField ids_141_19_37 T_javafe_ast_IdentifierVec)) (< (fClosedTime ids_141_19_37) alloc) true (= type_pre_127_18_28 type_127_18_28) (= type_127_18_28 (asField type_127_18_28 T_javafe_ast_Type)) (< (fClosedTime type_127_18_28) alloc) true (= TYPEOBJECTDESIGNATOR_pre_19_62_7 TYPEOBJECTDESIGNATOR_19_62_7) (= true_term (is TYPEOBJECTDESIGNATOR_19_62_7 T_int)) (= count_pre_73_67_33 count_73_67_33) (= count_73_67_33 (asField count_73_67_33 T_int)) (= INTTYPE_pre_17_28_26 INTTYPE_17_28_26) (= true_term (is INTTYPE_17_28_26 T_int)) (= INITBLOCK_pre_19_21_7 INITBLOCK_19_21_7) (= true_term (is INITBLOCK_19_21_7 T_int)) (= LT_pre_18_29_26 LT_18_29_26) (= true_term (is LT_18_29_26 T_int)) (= locId_pre_132_25_13 locId_132_25_13) (= locId_132_25_13 (asField locId_132_25_13 T_int)) (= type_pre_133_20_28 type_133_20_28) (= type_133_20_28 (asField type_133_20_28 T_javafe_ast_Type)) (< (fClosedTime type_133_20_28) alloc) true (= ARRAYREFEXPR_pre_19_48_7 ARRAYREFEXPR_19_48_7) (= true_term (is ARRAYREFEXPR_19_48_7 T_int)) (= POSTFIXINC_pre_18_62_26 POSTFIXINC_18_62_26) (= true_term (is POSTFIXINC_18_62_26 T_int)) (= loc_pre_63_30_13 loc_63_30_13) (= loc_63_30_13 (asField loc_63_30_13 T_int)) (= THROWSTMT_pre_19_34_7 THROWSTMT_19_34_7) (= true_term (is THROWSTMT_19_34_7 T_int)) (= FLOATLIT_pre_17_42_26 FLOATLIT_17_42_26) (= true_term (is FLOATLIT_17_42_26 T_int)) (= ASGADD_pre_18_44_26 ASGADD_18_44_26) (= true_term (is ASGADD_18_44_26 T_int)) (= length_pre_81_50_25 length_81_50_25) (= length_81_50_25 (asField length_81_50_25 T_int)) (= enclosingInstance_pre_119_37_14 enclosingInstance_119_37_14) (= enclosingInstance_119_37_14 (asField enclosingInstance_119_37_14 T_javafe_ast_Expr)) (< (fClosedTime enclosingInstance_119_37_14) alloc) (= loc_pre_116_29_13 loc_116_29_13) (= loc_116_29_13 (asField loc_116_29_13 T_int)) (= loc_pre_117_22_13 loc_117_22_13) (= loc_117_22_13 (asField loc_117_22_13 T_int)) (= EXPROBJECTDESIGNATOR_pre_19_61_7 EXPROBJECTDESIGNATOR_19_61_7) (= true_term (is EXPROBJECTDESIGNATOR_19_61_7 T_int)) (= otherCodes_pre_29_202_27 otherCodes_29_202_27) (= true_term (is otherCodes_29_202_27 ?v_1)) (= true_term (isAllocated otherCodes_29_202_27 alloc)) (= BOOLEANTYPE_pre_17_27_26 BOOLEANTYPE_17_27_26) (= true_term (is BOOLEANTYPE_17_27_26 T_int)) (= METHODDECL_pre_19_20_7 METHODDECL_19_20_7) (= true_term (is METHODDECL_19_20_7 T_int)) (= LE_pre_18_28_26 LE_18_28_26) (= true_term (is LE_18_28_26 T_int)) (= locOpenBrace_pre_21_13 locOpenBrace_21_13) (= locOpenBrace_21_13 (asField locOpenBrace_21_13 T_int)) (= locOpenBrace_pre_62_51_13 locOpenBrace_62_51_13) (= locOpenBrace_62_51_13 (asField locOpenBrace_62_51_13 T_int)) (= loc_pre_107_18_13 loc_107_18_13) (= loc_107_18_13 (asField loc_107_18_13 T_int)) (= dims_pre_123_45_31 dims_123_45_31) (= dims_123_45_31 (asField dims_123_45_31 T_javafe_ast_ExprVec)) (< (fClosedTime dims_123_45_31) alloc) true (= THISEXPR_pre_19_47_7 THISEXPR_19_47_7) (= true_term (is THISEXPR_19_47_7 T_int)) (= DEC_pre_18_59_26 DEC_18_59_26) (= true_term (is DEC_18_59_26 T_int)) (= locCloseBracket_pre_121_23_13 locCloseBracket_121_23_13) (= locCloseBracket_121_23_13 (asField locCloseBracket_121_23_13 T_int)) (= CHARLIT_pre_17_41_26 CHARLIT_17_41_26) (= true_term (is CHARLIT_17_41_26 T_int)) (= RETURNSTMT_pre_19_33_7 RETURNSTMT_19_33_7) (= true_term (is RETURNSTMT_19_33_7 T_int)) (= locOp_pre_26_32_13 locOp_26_32_13) (= locOp_26_32_13 (asField locOp_26_32_13 T_int)) (= punctuationCodes_pre_29_164_19 punctuationCodes_29_164_19) (= true_term (is punctuationCodes_29_164_19 ?v_1)) (= true_term (isAllocated punctuationCodes_29_164_19 alloc)) (= ASGREM_pre_18_43_26 ASGREM_18_43_26) (= true_term (is ASGREM_18_43_26 T_int)) (= CLASSLITERAL_pre_19_60_7 CLASSLITERAL_19_60_7) (= true_term (is CLASSLITERAL_19_60_7 T_int)) (= IDENT_pre_17_25_26 IDENT_17_25_26) (= true_term (is IDENT_17_25_26 T_int)) (= CONSTRUCTORDECL_pre_19_19_7 CONSTRUCTORDECL_19_19_7) (= true_term (is CONSTRUCTORDECL_19_19_7 T_int)) (= locDot_pre_122_29_13 locDot_122_29_13) (= locDot_122_29_13 (asField locDot_122_29_13 T_int)) (= GT_pre_18_27_26 GT_18_27_26) (= true_term (is GT_18_27_26 T_int)) (= locOpenBracket_pre_138_21_13 locOpenBracket_138_21_13) (= locOpenBracket_138_21_13 (asField locOpenBracket_138_21_13 T_int)) (= elements_pre_72_61_38 elements_72_61_38) (= elements_72_61_38 (asField elements_72_61_38 (array T_javafe_ast_FieldDecl))) (< (fClosedTime elements_72_61_38) alloc) true (= ARRAYINIT_pre_19_46_7 ARRAYINIT_19_46_7) (= true_term (is ARRAYINIT_19_46_7 T_int)) (= INC_pre_18_58_26 INC_18_58_26) (= true_term (is INC_18_58_26 T_int)) (= owner_pre_8_35_28 owner_8_35_28) (= owner_8_35_28 (asField owner_8_35_28 T_java_lang_Object)) (< (fClosedTime owner_8_35_28) alloc) (= locIds_pre_141_25_29 locIds_141_25_29) (= locIds_141_25_29 (asField locIds_141_25_29 ?v_1)) (< (fClosedTime locIds_141_25_29) alloc) true (= loc_pre_103_20_13 loc_103_20_13) (= loc_103_20_13 (asField loc_103_20_13 T_int)) (= LONGLIT_pre_17_40_26 LONGLIT_17_40_26) (= true_term (is LONGLIT_17_40_26 T_int)) (= EVALSTMT_pre_19_32_7 EVALSTMT_19_32_7) (= true_term (is EVALSTMT_19_32_7 T_int)) (= ASGDIV_pre_18_42_26 ASGDIV_18_42_26) (= true_term (is ASGDIV_18_42_26 T_int)) (= locOpenParen_pre_122_52_13 locOpenParen_122_52_13) (= locOpenParen_122_52_13 (asField locOpenParen_122_52_13 T_int)) (= METHODINVOCATION_pre_19_59_7 METHODINVOCATION_19_59_7) (= true_term (is METHODINVOCATION_19_59_7 T_int)) (= tokenType_pre_78_90_8 tokenType_78_90_8) (= tokenType_78_90_8 (asField tokenType_78_90_8 T_int)) (= INTERFACEDECL_pre_19_18_7 INTERFACEDECL_19_18_7) (= true_term (is INTERFACEDECL_19_18_7 T_int)) (= GE_pre_18_26_26 GE_18_26_26) (= true_term (is GE_18_26_26 T_int)) (= locCloseParen_pre_128_21_13 locCloseParen_128_21_13) (= locCloseParen_128_21_13 (asField locCloseParen_128_21_13 T_int)) (= CATCHCLAUSE_pre_19_45_7 CATCHCLAUSE_19_45_7) (= true_term (is CATCHCLAUSE_19_45_7 T_int)) (= BITNOT_pre_18_57_26 BITNOT_18_57_26) (= true_term (is BITNOT_18_57_26 T_int)) (= keywordStrings_pre_29_181_30 keywordStrings_29_181_30) (= true_term (is keywordStrings_29_181_30 ?v_0)) (= true_term (isAllocated keywordStrings_29_181_30 alloc)) (= locOpenParen_pre_131_30_13 locOpenParen_131_30_13) (= locOpenParen_131_30_13 (asField locOpenParen_131_30_13 T_int)) (= NULL_pre_13_60_26 NULL_13_60_26) (= true_term (is NULL_13_60_26 T_int)) (= SYNCHRONIZESTMT_pre_19_31_7 SYNCHRONIZESTMT_19_31_7) (= true_term (is SYNCHRONIZESTMT_19_31_7 T_int)) (= INTLIT_pre_17_39_26 INTLIT_17_39_26) (= true_term (is INTLIT_17_39_26 T_int)) (= ASGMUL_pre_18_41_26 ASGMUL_18_41_26) (= true_term (is ASGMUL_18_41_26 T_int)) (= loc_pre_115_16_13 loc_115_16_13) (= loc_115_16_13 (asField loc_115_16_13 T_int)) (= AMBIGUOUSMETHODINVOCATION_pre_19_58_7 AMBIGUOUSMETHODINVOCATION_19_58_7) (= true_term (is AMBIGUOUSMETHODINVOCATION_19_58_7 T_int)) (= CLASSDECL_pre_19_17_7 CLASSDECL_19_17_7) (= true_term (is CLASSDECL_19_17_7 T_int)) (= EQ_pre_18_25_26 EQ_18_25_26) (= true_term (is EQ_18_25_26 T_int)) (= locOpenParen_pre_119_48_13 locOpenParen_119_48_13) (= locOpenParen_119_48_13 (asField locOpenParen_119_48_13 T_int)) (= loc_pre_27_35_13 loc_27_35_13) (= loc_27_35_13 (asField loc_27_35_13 T_int)) (= TYPEMODIFIERPRAGMA_pre_29_28_26 TYPEMODIFIERPRAGMA_29_28_26) (= true_term (is TYPEMODIFIERPRAGMA_29_28_26 T_int)) (= locId_pre_62_48_13 locId_62_48_13) (= locId_62_48_13 (asField locId_62_48_13 T_int)) (= CONSTRUCTORINVOCATION_pre_19_44_7 CONSTRUCTORINVOCATION_19_44_7) (= true_term (is CONSTRUCTORINVOCATION_19_44_7 T_int)) (= count_pre_72_67_33 count_72_67_33) (= count_72_67_33 (asField count_72_67_33 T_int)) (= NOT_pre_18_56_26 NOT_18_56_26) (= true_term (is NOT_18_56_26 T_int)) (= returnType_pre_79_18_28 returnType_79_18_28) (= returnType_79_18_28 (asField returnType_79_18_28 T_javafe_ast_Type)) (< (fClosedTime returnType_79_18_28) alloc) true (= locDots_pre_141_31_29 locDots_141_31_29) (= locDots_141_31_29 (asField locDots_141_31_29 ?v_1)) (< (fClosedTime locDots_141_31_29) alloc) true (= BOOLEANLIT_pre_17_38_26 BOOLEANLIT_17_38_26) (= true_term (is BOOLEANLIT_17_38_26 T_int)) (= DOSTMT_pre_19_30_7 DOSTMT_19_30_7) (= true_term (is DOSTMT_19_30_7 T_int)) (= ASSIGN_pre_18_40_26 ASSIGN_18_40_26) (= true_term (is ASSIGN_18_40_26 T_int)) (= loc_pre_126_21_13 loc_126_21_13) (= loc_126_21_13 (asField loc_126_21_13 T_int)) (= op_pre_25_35_13 op_25_35_13) (= op_25_35_13 (asField op_25_35_13 T_int)) (= FIELDACCESS_pre_19_57_7 FIELDACCESS_19_57_7) (= true_term (is FIELDACCESS_19_57_7 T_int)) (= syntax_pre_22_28_29 syntax_22_28_29) (= syntax_22_28_29 (asField syntax_22_28_29 T_boolean)) (= ONDEMANDIMPORTDECL_pre_19_16_7 ONDEMANDIMPORTDECL_19_16_7) (= true_term (is ONDEMANDIMPORTDECL_19_16_7 T_int)) (= NE_pre_18_24_26 NE_18_24_26) (= true_term (is NE_18_24_26 T_int)) (= locColon_pre_125_25_13 locColon_125_25_13) (= locColon_125_25_13 (asField locColon_125_25_13 T_int)) (= locOpenBracket_pre_121_20_13 locOpenBracket_121_20_13) (= locOpenBracket_121_20_13 (asField locOpenBracket_121_20_13 T_int)) (= elems_pre elems) (= elems (asElems elems)) (< (eClosedTime elems) alloc) (= LS (asLockSet LS)) (= alloc_pre alloc)) (not (and (= true_term (is elems_91_56 T_javafe_ast_VarInitVec)) (= true_term (isAllocated elems_91_56 alloc)) (not (= elems_91_56 null)) (= true_term (is locOpenBrace_91_67 T_int)) (= true_term (is locCloseBrace_91_85 T_int)) (not (= locOpenBrace_91_67 NULL_13_60_26)) (not (= locCloseBrace_91_85 NULL_13_60_26)) true true (or (not ?v_2) (and ?v_2 ?v_5 ?v_3 ?v_6 ?v_7 ?v_8 ?v_9 ?v_10 ?v_11 (or ?v_4 (and ?v_3 ?v_12 (or ?v_4 (and ?v_3 ?v_13 ?v_4))))) (and ?v_2 ?v_5 ?v_3 ?v_6 ?v_7 ?v_8 ?v_9 ?v_10 ?v_11 ?v_3 ?v_12 ?v_3 ?v_13 ?v_3 (= locCloseBrace_96_12 (S_store locCloseBrace_24_13 RES_93_24_93_24 locCloseBrace_91_85)) ?v_2 (or (not ?v_14) (and ?v_14 (or (not ?v_15) (and ?v_15 (or (not true) (not (=> (and (= true_term (is brokenObj_1_FOO T_javafe_ast_ArrayInit)) (= true_term (isAllocated brokenObj_1_FOO after_93_24_93_24)) (not (= brokenObj_1_FOO null))) (not (= (S_select locCloseBrace_96_12 brokenObj_1_FOO) NULL_13_60_26))))))))))))))))))))
+(check-sat)
+(exit)
diff --git a/test/regress/regress2/strings/Makefile.am b/test/regress/regress2/strings/Makefile.am
new file mode 100644
index 000000000..9b397699c
--- /dev/null
+++ b/test/regress/regress2/strings/Makefile.am
@@ -0,0 +1,34 @@
+# don't override a BINARY imported from a personal.mk
+@mk_if@eq ($(BINARY),)
+@mk_empty@BINARY = cvc4
+end@mk_if@
+
+LOG_COMPILER = @srcdir@/../../run_regression
+AM_LOG_FLAGS = $(RUN_REGRESSION_ARGS) @abs_top_builddir@/src/main/$(BINARY)$(EXEEXT)
+
+if AUTOMAKE_1_11
+# old-style (pre-automake 1.12) test harness
+TESTS_ENVIRONMENT = \
+ $(LOG_COMPILER) \
+ $(AM_LOG_FLAGS) $(LOG_FLAGS)
+endif
+
+# These are run for all build profiles.
+# If a test shouldn't be run in e.g. competition mode,
+# put it below in "TESTS +="
+TESTS = \
+ cmu-dis-0707-3.smt2 \
+ cmu-prereg-fmf.smt2 \
+ cmu-repl-len-nterm.smt2
+
+
+EXTRA_DIST = $(TESTS) \
+ norn-dis-0707-3.smt2
+
+# synonyms for "check" in this directory
+.PHONY: regress regress2 test
+regress regress2 test: check
+
+# do nothing in this subdir
+.PHONY: regress0 regress1 regress3 regress4
+regress0 regress1 regress3 regress4:
diff --git a/test/regress/regress2/strings/cmu-dis-0707-3.smt2 b/test/regress/regress2/strings/cmu-dis-0707-3.smt2
new file mode 100644
index 000000000..3bf47ed61
--- /dev/null
+++ b/test/regress/regress2/strings/cmu-dis-0707-3.smt2
@@ -0,0 +1,26 @@
+; COMMAND-LINE: --lang=smt2.0
+; EXPECT: sat
+(set-logic ALL_SUPPORTED)
+(set-info :status sat)
+(set-option :strings-exp true)
+(declare-fun value () String)
+(declare-fun name () String)
+(assert (not (not (not (= (ite (str.contains value "?") 1 0) 0)))))
+(assert (not (not (= (ite (str.contains value "#") 1 0) 0))))
+(assert (not (not (= (ite (= (str.substr value 0 (- 2 0)) "//") 1 0) 0))))
+(assert (not (not (= (ite (> (str.indexof value ":" 0) 0) 1 0) 0))))
+(assert (not (= (ite (not (= (str.len value) 0)) 1 0) 0)))
+(assert (not (not (= (ite (str.contains value "'") 1 0) 0))))
+(assert (not (not (= (ite (str.contains value "\"") 1 0) 0))))
+(assert (not (not (= (ite (str.contains value ">") 1 0) 0))))
+(assert (not (not (= (ite (str.contains value "<") 1 0) 0))))
+(assert (not (not (= (ite (str.contains value "&") 1 0) 0))))
+(assert (not (not (= (ite (str.contains name "'") 1 0) 0))))
+(assert (not (not (= (ite (str.contains name "\"") 1 0) 0))))
+(assert (not (not (= (ite (str.contains name ">") 1 0) 0))))
+(assert (not (not (= (ite (str.contains name "<") 1 0) 0))))
+(assert (not (not (= (ite (str.contains name "&") 1 0) 0))))
+(assert (not (= (ite (not (= value "")) 1 0) 0)))
+(assert (not (= (ite (str.contains value "javascript:alert(1);") 1 0) 0)))
+(assert (not (not (= (ite (str.contains name "javascript:alert(1);") 1 0) 0))))
+(check-sat)
diff --git a/test/regress/regress2/strings/cmu-prereg-fmf.smt2 b/test/regress/regress2/strings/cmu-prereg-fmf.smt2
new file mode 100644
index 000000000..0d6827cf7
--- /dev/null
+++ b/test/regress/regress2/strings/cmu-prereg-fmf.smt2
@@ -0,0 +1,12 @@
+; COMMAND-LINE: --strings-exp --strings-fmf
+; EXPECT: sat
+(set-logic ALL_SUPPORTED)
+(set-info :status sat)
+
+(declare-fun url () String)
+
+(assert (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (not (not (not (= (ite (str.contains (str.++ (str.replace (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) 0 (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) "K" "k") (str.++ (str.replace (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) 0 (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) "K" "k") (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1))))) "Y") 1 0) 0)))) (not (not (= (ite (str.contains (str.++ (str.replace (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) 0 (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) "K" "k") (str.++ (str.replace (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) 0 (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) "K" "k") (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1))))) "X") 1 0) 0)))) (not (not (= (ite (str.contains (str.++ (str.replace (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) 0 (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) "K" "k") (str.++ (str.replace (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) 0 (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) "K" "k") (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1))))) "W") 1 0) 0)))) (not (not (= (ite (str.contains (str.++ (str.replace (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) 0 (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) "K" "k") (str.++ (str.replace (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) 0 (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) "K" "k") (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1))))) "V") 1 0) 0)))) (not (not (= (ite (str.contains (str.++ (str.replace (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) 0 (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) "K" "k") (str.++ (str.replace (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) 0 (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) "K" "k") (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1))))) "U") 1 0) 0)))) (not (not (= (ite (str.contains (str.++ (str.replace (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) 0 (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) "K" "k") (str.++ (str.replace (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) 0 (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) "K" "k") (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1))))) "T") 1 0) 0)))) (not (not (= (ite (str.contains (str.++ (str.replace (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) 0 (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) "K" "k") (str.++ (str.replace (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) 0 (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) "K" "k") (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1))))) "S") 1 0) 0)))) (not (not (= (ite (str.contains (str.++ (str.replace (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) 0 (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) "K" "k") (str.++ (str.replace (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) 0 (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) "K" "k") (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1))))) "R") 1 0) 0)))) (not (not (= (ite (str.contains (str.++ (str.replace (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) 0 (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) "K" "k") (str.++ (str.replace (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) 0 (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) "K" "k") (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1))))) "Q") 1 0) 0)))) (not (not (= (ite (str.contains (str.++ (str.replace (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) 0 (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) "K" "k") (str.++ (str.replace (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) 0 (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) "K" "k") (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1))))) "P") 1 0) 0)))) (not (not (= (ite (str.contains (str.++ (str.replace (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) 0 (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) "K" "k") (str.++ (str.replace (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) 0 (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) "K" "k") (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1))))) "O") 1 0) 0)))) (not (not (= (ite (str.contains (str.++ (str.replace (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) 0 (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) "K" "k") (str.++ (str.replace (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) 0 (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) "K" "k") (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1))))) "N") 1 0) 0)))) (not (not (= (ite (str.contains (str.++ (str.replace (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) 0 (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) "K" "k") (str.++ (str.replace (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) 0 (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) "K" "k") (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1))))) "M") 1 0) 0)))) (not (not (= (ite (str.contains (str.++ (str.replace (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) 0 (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) "K" "k") (str.++ (str.replace (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) 0 (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) "K" "k") (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1))))) "L") 1 0) 0)))) (not (not (= (ite (str.contains (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1))) "K") 1 0) 0)))) (not (= (ite (str.contains (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K") 1 0) 0))) (not (= (ite (str.contains (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K") 1 0) 0))) (not (not (= (ite (str.contains (str.substr url 0 (- (str.indexof url ":" 0) 0)) "J") 1 0) 0)))) (not (not (= (ite (str.contains (str.substr url 0 (- (str.indexof url ":" 0) 0)) "I") 1 0) 0)))) (not (not (= (ite (str.contains (str.substr url 0 (- (str.indexof url ":" 0) 0)) "H") 1 0) 0)))) (not (not (= (ite (str.contains (str.substr url 0 (- (str.indexof url ":" 0) 0)) "G") 1 0) 0)))) (not (not (= (ite (str.contains (str.substr url 0 (- (str.indexof url ":" 0) 0)) "F") 1 0) 0)))) (not (not (= (ite (str.contains (str.substr url 0 (- (str.indexof url ":" 0) 0)) "E") 1 0) 0)))) (not (not (= (ite (str.contains (str.substr url 0 (- (str.indexof url ":" 0) 0)) "D") 1 0) 0)))) (not (not (= (ite (str.contains (str.substr url 0 (- (str.indexof url ":" 0) 0)) "C") 1 0) 0)))) (not (not (= (ite (str.contains (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B") 1 0) 0)))) (not (not (= (ite (str.contains (str.substr url 0 (- (str.indexof url ":" 0) 0)) "A") 1 0) 0)))) (not (not (= (ite (not (= (str.len (str.substr url (+ (str.indexof url ":" 0) 1) (- (str.len url) (+ (str.indexof url ":" 0) 1)))) 0)) 1 0) 0)))) (not (not (= (ite (= (str.substr url 0 (- (str.indexof url ":" 0) 0)) "http") 1 0) 0)))) (not (= (ite (> (str.indexof url ":" 0) 0) 1 0) 0))) (not (= (ite (not (= (str.len url) 0)) 1 0) 0))) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) (>= (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) (>= (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) (>= (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) (>= (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) (>= (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) (>= (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) (>= (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) (>= (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) (>= (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) (>= (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) (>= (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) (>= (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) (>= (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) (>= (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1) 0)) (>= (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1))) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "K" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof url ":" 0) 1) 0)) (>= (- (str.len url) (+ (str.indexof url ":" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)))
+
+(check-sat)
+
+;(get-value (url))
diff --git a/test/regress/regress2/strings/cmu-repl-len-nterm.smt2 b/test/regress/regress2/strings/cmu-repl-len-nterm.smt2
new file mode 100644
index 000000000..004db77ed
--- /dev/null
+++ b/test/regress/regress2/strings/cmu-repl-len-nterm.smt2
@@ -0,0 +1,12 @@
+; COMMAND-LINE: --strings-exp
+; EXPECT: sat
+(set-logic ALL_SUPPORTED)
+(set-info :status sat)
+
+(declare-fun url () String)
+
+(assert (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (and (not (not (not (= (ite (str.contains (str.++ (str.replace (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) 0 (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) 0)) "B" "b") (str.++ (str.replace (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1))) 0 (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1))) "B" 0) 1) 0)) "B" "b") (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1))) "B" 0) 1) (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1))) "B" 0) 1))))) "C") 1 0) 0)))) (not (not (= (ite (str.contains (str.substr (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1))) "B" 0) 1) (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1))) "B" 0) 1))) "B") 1 0) 0)))) (not (= (ite (str.contains (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1))) "B") 1 0) 0))) (not (= (ite (str.contains (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B") 1 0) 0))) (not (not (= (ite (str.contains (str.substr url 0 (- (str.indexof url ":" 0) 0)) "A") 1 0) 0)))) (not (not (= (ite (not (= (str.len (str.substr url (+ (str.indexof url ":" 0) 1) (- (str.len url) (+ (str.indexof url ":" 0) 1)))) 0)) 1 0) 0)))) (not (not (= (ite (= (str.substr url 0 (- (str.indexof url ":" 0) 0)) "http") 1 0) 0)))) (not (= (ite (> (str.indexof url ":" 0) 0) 1 0) 0))) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1)) 0)) (>= 0 0)) (>= (- (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1))) "B" 0) 1) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1)) 0)) (>= (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1))) "B" 0) 1) 0)) (>= (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1))) "B" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1)) 0)) (>= (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1))) "B" 0) 1) 0)) (>= (- (str.len (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1)))) (+ (str.indexof (str.substr (str.substr url 0 (- (str.indexof url ":" 0) 0)) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1))) "B" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1) 0)) (>= (- (str.len (str.substr url 0 (- (str.indexof url ":" 0) 0))) (+ (str.indexof (str.substr url 0 (- (str.indexof url ":" 0) 0)) "B" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)) (>= (+ (str.indexof url ":" 0) 1) 0)) (>= (- (str.len url) (+ (str.indexof url ":" 0) 1)) 0)) (>= 0 0)) (>= (- (str.indexof url ":" 0) 0) 0)))
+
+(check-sat)
+
+;(get-value (url))
diff --git a/test/regress/regress2/strings/norn-dis-0707-3.smt2 b/test/regress/regress2/strings/norn-dis-0707-3.smt2
new file mode 100644
index 000000000..bc0f877ad
--- /dev/null
+++ b/test/regress/regress2/strings/norn-dis-0707-3.smt2
@@ -0,0 +1,26 @@
+(set-logic QF_S)
+(set-info :status sat)
+(set-option :strings-exp true)
+
+(declare-fun var_0 () String)
+(declare-fun var_1 () String)
+(declare-fun var_2 () String)
+(declare-fun var_3 () String)
+(declare-fun var_4 () String)
+(declare-fun var_5 () String)
+(declare-fun var_6 () String)
+(declare-fun var_7 () String)
+(declare-fun var_8 () String)
+(declare-fun var_9 () String)
+(declare-fun var_10 () String)
+(declare-fun var_11 () String)
+(declare-fun var_12 () String)
+
+(assert (str.in.re (str.++ var_8 "z" var_9 ) (re.++ (re.* (re.union (str.to.re "a") (re.++ (str.to.re "b") (re.++ (re.* (re.union (str.to.re "b") (str.to.re "a"))) (str.to.re "z"))))) (re.++ (str.to.re "b") (re.* (re.union (str.to.re "b") (str.to.re "a")))))))
+(assert (str.in.re (str.++ var_8 "z" var_9 ) (re.++ (re.* (re.union (re.union (str.to.re "z") (str.to.re "a")) (re.++ (str.to.re "b") (re.++ (re.* (str.to.re "b")) (re.union (str.to.re "z") (str.to.re "a")))))) (re.++ (str.to.re "b") (re.* (str.to.re "b"))))))
+(assert (str.in.re (str.++ var_8 "z" var_9 ) (re.++ (re.* (re.union (str.to.re "a") (re.++ (str.to.re "b") (re.++ (re.* (re.union (str.to.re "z") (str.to.re "a"))) (str.to.re "b"))))) (re.++ (str.to.re "b") (re.* (re.union (str.to.re "z") (str.to.re "a")))))))
+(assert (str.in.re (str.++ var_8 "z" var_9 ) (re.* (re.++ (re.union (str.to.re "b") (str.to.re "a")) (re.++ (re.* (str.to.re "a")) (re.union (str.to.re "z") (str.to.re "b")))))))
+(assert (str.in.re var_9 (re.* (re.range "a" "u"))))
+(assert (str.in.re var_8 (re.* (re.range "a" "u"))))
+(assert (not (str.in.re (str.++ "b" var_8 "z" "b" var_9 ) (re.++ (re.* (re.++ (str.to.re "b") (str.to.re "z"))) (str.to.re "b")))))
+(check-sat)
diff --git a/test/regress/regress2/sygus/MPwL_d1s3.sy b/test/regress/regress2/sygus/MPwL_d1s3.sy
new file mode 100644
index 000000000..5178cf86b
--- /dev/null
+++ b/test/regress/regress2/sygus/MPwL_d1s3.sy
@@ -0,0 +1,151 @@
+; EXPECT: unsat
+; COMMAND-LINE: --sygus-out=status
+(set-logic LIA)
+
+(define-fun get-y ((currPoint Int)) Int
+(ite (< currPoint 10) 0 (ite (< currPoint 20) 1 (ite (< currPoint 30) 2 (ite (< currPoint 40) 3 (ite (< currPoint 50) 4 (ite (< currPoint 60) 5 (ite (< currPoint 70) 6 (ite (< currPoint 80) 7 (ite (< currPoint 90) 8 9))))))))))
+
+(define-fun get-x ((currPoint Int)) Int
+ (- currPoint (* (get-y currPoint) 10)))
+(define-fun interpret-move (( currPoint Int ) ( move Int)) Int
+(ite (= move 0) currPoint
+(ite (= move 1) (ite (or (< (+ (get-y currPoint) 1) 0) (>= (+ (get-y currPoint) 1) 10)) currPoint (+ currPoint 10))
+(ite (= move 2) (ite (or (< (+ (get-x currPoint) 1) 0) (>= (+ (get-x currPoint) 1) 10)) currPoint (+ currPoint 1))
+(ite (= move 3) (ite (or (< (+ (get-y currPoint) -1) 0) (>= (+ (get-y currPoint) -1) 10)) currPoint (+ currPoint -10))
+(ite (= move 4) (ite (or (< (+ (get-x currPoint) -1) 0) (>= (+ (get-x currPoint) -1) 10)) currPoint (+ currPoint -1))
+currPoint))))))
+
+(define-fun interpret-move-obstacle-0 (( currPoint Int ) ( move Int)) Int
+(ite (= move 0) (ite (or (< (+ (get-y currPoint) 1) 0) (>= (+ (get-y currPoint) 1) 10)) currPoint (+ currPoint 10))
+(ite (= move 1) (ite (or (< (+ (get-y currPoint) -1) 0) (>= (+ (get-y currPoint) -1) 10)) currPoint (+ currPoint -10))
+currPoint)))
+
+(define-fun interpret-move-obstacle-1 (( currPoint Int ) ( move Int)) Int
+(ite (= move 0) (ite (or (< (+ (get-y currPoint) 1) 0) (>= (+ (get-y currPoint) 1) 10)) currPoint (+ currPoint 10))
+(ite (= move 1) currPoint
+(ite (= move 2) (ite (or (< (+ (get-y currPoint) -1) 0) (>= (+ (get-y currPoint) -1) 10)) currPoint (+ currPoint -10))
+currPoint))))
+
+(define-fun allowable-move-obstacle-0 (( start Int ) ( end Int)) Bool
+ (or (= (interpret-move-obstacle-0 start 0) end)
+ (or (= (interpret-move-obstacle-0 start 1) end) false)))
+
+(define-fun allowable-move-obstacle-1 (( start Int ) ( end Int)) Bool
+ (or (= (interpret-move-obstacle-1 start 0) end)
+ (or (= (interpret-move-obstacle-1 start 1) end)
+ (or (= (interpret-move-obstacle-1 start 2) end) false))))
+
+(define-fun get-move-obstacle-0 (( start Int ) ( end Int)) Int
+ (ite (= (interpret-move-obstacle-0 start 0) end) 0
+ (ite (= (interpret-move-obstacle-0 start 1) end) 1 -1)))
+
+(define-fun get-move-obstacle-1 (( start Int ) ( end Int)) Int
+ (ite (= (interpret-move-obstacle-1 start 0) end) 0
+ (ite (= (interpret-move-obstacle-1 start 1) end) 1
+ (ite (= (interpret-move-obstacle-1 start 2) end) 2 -1))))
+
+(define-fun no-overlap-one-move-combination-2-2 ((p0 Int) (p1 Int) (p2 Int) (p3 Int)) Bool
+ (and (not (= p0 p2)) (and (not (= p0 p3)) (and (not (= p1 p2)) (and (not (= p1 p3)) true)))))
+
+(define-fun no-overlaps-0 (( currPoint Int ) ( move Int) (obstacleCurrPoint Int) (obstacleMove Int)) Bool
+ (= 1
+ (ite (= move 0)
+ (ite (= obstacleMove 0) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 0) 0) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) 10)) 1 0)
+ (ite (= obstacleMove 1) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 0) 0) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) -10)) 1 0) 0))
+ (ite (= move 1)
+ (ite (= obstacleMove 0) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 0) 10) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) 10)) 1 0)
+ (ite (= obstacleMove 1) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 0) 10) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) -10)) 1 0) 0))
+ (ite (= move 2)
+ (ite (= obstacleMove 0) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 1) 0) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) 10)) 1 0)
+ (ite (= obstacleMove 1) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 1) 0) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) -10)) 1 0) 0))
+ (ite (= move 3)
+ (ite (= obstacleMove 0) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 0) -10) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) 10)) 1 0)
+ (ite (= obstacleMove 1) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 0) -10) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) -10)) 1 0) 0))
+ (ite (= move 4)
+ (ite (= obstacleMove 0) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint -1) 0) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) 10)) 1 0)
+ (ite (= obstacleMove 1) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint -1) 0) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) -10)) 1 0) 0)) 0)))))))
+
+(define-fun no-overlaps-1 (( currPoint Int ) ( move Int) (obstacleCurrPoint Int) (obstacleMove Int)) Bool
+ (= 1
+ (ite (= move 0)
+ (ite (= obstacleMove 0) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 0) 0) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) 10)) 1 0)
+ (ite (= obstacleMove 1) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 0) 0) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) 0)) 1 0)
+ (ite (= obstacleMove 2) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 0) 0) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) -10)) 1 0) 0)))
+ (ite (= move 1)
+ (ite (= obstacleMove 0) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 0) 10) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) 10)) 1 0)
+ (ite (= obstacleMove 1) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 0) 10) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) 0)) 1 0)
+ (ite (= obstacleMove 2) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 0) 10) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) -10)) 1 0) 0)))
+ (ite (= move 2)
+ (ite (= obstacleMove 0) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 1) 0) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) 10)) 1 0)
+ (ite (= obstacleMove 1) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 1) 0) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) 0)) 1 0)
+ (ite (= obstacleMove 2) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 1) 0) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) -10)) 1 0) 0)))
+ (ite (= move 3)
+ (ite (= obstacleMove 0) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 0) -10) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) 10)) 1 0)
+ (ite (= obstacleMove 1) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 0) -10) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) 0)) 1 0)
+ (ite (= obstacleMove 2) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint 0) -10) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) -10)) 1 0) 0)))
+ (ite (= move 4)
+ (ite (= obstacleMove 0) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint -1) 0) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) 10)) 1 0)
+ (ite (= obstacleMove 1) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint -1) 0) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) 0)) 1 0)
+ (ite (= obstacleMove 2) (ite (no-overlap-one-move-combination-2-2 currPoint (+ (+ currPoint -1) 0) obstacleCurrPoint (+ (+ obstacleCurrPoint 0) -10)) 1 0) 0))) 0)))))))
+
+(define-fun no-overlaps-one-step-helper ((currPoint Int) (move Int) (o0-t Int) (o0move Int) (o1-t Int) (o1move Int)) Bool
+ (and (no-overlaps-0 currPoint move o0-t o0move) (and (no-overlaps-1 currPoint move o1-t o1move) true)))
+
+(define-fun no-overlaps-one-step ((currPoint Int) (move Int) (o0-0 Int) (o0-1 Int) (o1-0 Int) (o1-1 Int)) Bool
+ (no-overlaps-one-step-helper currPoint move o0-0 (get-move-obstacle-0 o0-0 o0-1) o1-0 (get-move-obstacle-1 o1-0 o1-1)))
+
+
+
+(declare-var o0-1 Int)
+(declare-var o0-2 Int)
+(declare-var o0-3 Int)
+(declare-var o1-1 Int)
+(declare-var o1-2 Int)
+(declare-var o1-3 Int)
+
+(synth-fun move ((currPoint Int) (o0 Int) (o1 Int)) Int
+ ((Start Int (
+ MoveId
+ (ite StartBool Start Start)))
+ (MoveId Int (0
+ 1
+ 2
+ 3
+ 4
+ ))
+ (CondInt Int (
+ (get-y currPoint) ;y coord
+ (get-x currPoint) ;x coord
+ (get-y o0)
+ (get-x o0)
+ (get-y o1)
+ (get-x o1)
+ (+ CondInt CondInt)
+ (- CondInt CondInt)
+ -1
+ 0
+ 1
+ 2
+ 3
+ 4
+ 5
+ 6
+ 7
+ 8
+ 9
+ ))
+ (StartBool Bool ((and StartBool StartBool)
+ (or StartBool StartBool)
+ (not StartBool)
+ (<= CondInt CondInt)
+ (= CondInt CondInt)
+ (>= CondInt CondInt)))))
+
+ (constraint (let ((pos0 Int 0)) (let ((mov0 Int (move pos0 99 98))) (let ((pos1 Int (interpret-move pos0 mov0))) (let ((mov1 Int (move pos1 o0-1 o1-1))) (let ((pos2 Int (interpret-move pos1 mov1))) (let ((mov2 Int (move pos2 o0-2 o1-2))) (let ((pos3 Int (interpret-move pos2 mov2)))
+ (or
+ (and
+ (= pos3 30)
+ (and (no-overlaps-one-step pos0 mov0 99 o0-1 98 o1-1) (and (no-overlaps-one-step pos1 mov1 o0-1 o0-2 o1-1 o1-2) (and (no-overlaps-one-step pos2 mov2 o0-2 o0-3 o1-2 o1-3) true))))
+ (not (and (allowable-move-obstacle-0 99 o0-1) (and (allowable-move-obstacle-0 o0-1 o0-2) (and (allowable-move-obstacle-0 o0-2 o0-3) (and (allowable-move-obstacle-1 98 o1-1) (and (allowable-move-obstacle-1 o1-1 o1-2) (and (allowable-move-obstacle-1 o1-2 o1-3) true))))))))))))))))
+
+(check-synth)
diff --git a/test/regress/regress2/sygus/Makefile.am b/test/regress/regress2/sygus/Makefile.am
new file mode 100644
index 000000000..02091c3bd
--- /dev/null
+++ b/test/regress/regress2/sygus/Makefile.am
@@ -0,0 +1,42 @@
+# don't override a BINARY imported from a personal.mk
+@mk_if@eq ($(BINARY),)
+@mk_empty@BINARY = cvc4
+end@mk_if@
+
+LOG_COMPILER = @srcdir@/../../run_regression
+AM_LOG_FLAGS = $(RUN_REGRESSION_ARGS) @abs_top_builddir@/src/main/$(BINARY)$(EXEEXT)
+
+if AUTOMAKE_1_11
+# old-style (pre-automake 1.12) test harness
+TESTS_ENVIRONMENT = \
+ $(LOG_COMPILER) \
+ $(AM_LOG_FLAGS) $(LOG_FLAGS)
+endif
+
+# These are run for all build profiles.
+# If a test shouldn't be run in e.g. competition mode,
+# put it below in "TESTS +="
+TESTS = \
+ array_sum_dd.sy \
+ icfp_easy_mt_ite.sy \
+ inv_gen_n_c11.sy \
+ MPwL_d1s3.sy \
+ nia-max-square.sy \
+ no-syntax-test-no-si.sy \
+ process-10-vars-2fun.sy \
+ process-arg-invariance.sy \
+ real-grammar-neg.sy \
+ lustre-real.sy \
+ max2-univ.sy \
+ mpg_guard1-dd.sy \
+ three.sy
+
+EXTRA_DIST = $(TESTS)
+
+# synonyms for "check" in this directory
+.PHONY: regress regress2 test
+regress regress2 test: check
+
+# do nothing in this subdir
+.PHONY: regress0 regress1 regress3 regress4
+regress0 regress1 regress3 regress4:
diff --git a/test/regress/regress2/sygus/array_sum_dd.sy b/test/regress/regress2/sygus/array_sum_dd.sy
new file mode 100644
index 000000000..6d3354d2d
--- /dev/null
+++ b/test/regress/regress2/sygus/array_sum_dd.sy
@@ -0,0 +1,11 @@
+; EXPECT: unsat
+; COMMAND-LINE: --sygus-out=status
+(set-logic LIA)
+(synth-fun findSum ( (y1 Int) (y2 Int) )Int (
+(Start Int ( 0 1 y1 y2 (+ Start Start) (ite BoolExpr Start Start)))
+(BoolExpr Bool ((< Start Start) (<= Start Start)))))
+(declare-var x1 Int)
+(declare-var x2 Int)
+(constraint (=> (> (+ x1 x2) 0) (= (findSum x1 x2 ) x1)))
+(constraint (=> (<= (+ x1 x2) 0) (= (findSum x1 x2 ) x2)))
+(check-synth)
diff --git a/test/regress/regress2/sygus/icfp_easy_mt_ite.sy b/test/regress/regress2/sygus/icfp_easy_mt_ite.sy
new file mode 100644
index 000000000..799633fa3
--- /dev/null
+++ b/test/regress/regress2/sygus/icfp_easy_mt_ite.sy
@@ -0,0 +1,32 @@
+; EXPECT: unsat
+; COMMAND-LINE: --sygus-out=status
+(set-logic BV)
+
+(define-fun shr1 ((x (BitVec 64))) (BitVec 64) (bvlshr x #x0000000000000001))
+(define-fun shr4 ((x (BitVec 64))) (BitVec 64) (bvlshr x #x0000000000000004))
+(define-fun shr16 ((x (BitVec 64))) (BitVec 64) (bvlshr x #x0000000000000010))
+(define-fun shl1 ((x (BitVec 64))) (BitVec 64) (bvshl x #x0000000000000001))
+(define-fun if0 ((x (BitVec 64)) (y (BitVec 64)) (z (BitVec 64))) (BitVec 64) (ite (= x #x0000000000000001) y z))
+
+(synth-fun f ( (x (BitVec 64))) (BitVec 64)
+(
+
+(Start (BitVec 64) (#x0000000000000000 #x0000000000000001 x (bvnot Start)
+ (shl1 Start)
+ (shr1 Start)
+ (shr4 Start)
+ (shr16 Start)
+ (bvand Start Start)
+ (bvor Start Start)
+ (bvxor Start Start)
+ (bvadd Start Start)
+ (ite StartBool Start Start)
+ ))
+(StartBool Bool ((= Start #x0000000000000001)))
+)
+)
+(constraint (= (f #x6E393354DFFAAB51) #xC8E366559002AA57))
+
+(constraint (= (f #xE5D371D100002E8A) #x0000000000000000))
+
+(check-synth)
diff --git a/test/regress/regress2/sygus/inv_gen_n_c11.sy b/test/regress/regress2/sygus/inv_gen_n_c11.sy
new file mode 100644
index 000000000..9e04682a5
--- /dev/null
+++ b/test/regress/regress2/sygus/inv_gen_n_c11.sy
@@ -0,0 +1,36 @@
+; EXPECT: unsat
+; COMMAND-LINE: --sygus-out=status
+(set-logic LIA)
+(synth-fun inv ((i Int) (l Int)) Bool
+ (
+ (Start Bool ((and AtomicFormula AtomicFormula)
+ (or AtomicFormula AtomicFormula)))
+ (AtomicFormula Bool ((<= Sum Const) (= Sum Const)))
+ (Sum Int ((+ Term Term)))
+ (Term Int ((* Sign Var)))
+ (Sign Int (0 1 -1))
+ (Var Int (i l))
+ (Const Int (-7 -6 -5 -4 -3 -2 -1 0 1 2 3 4 5 6 7))
+ )
+)
+
+(define-fun implies ((b1 Bool) (b2 Bool)) Bool (or (not b1) b2))
+(define-fun and3 ((b1 Bool) (b2 Bool) (b3 Bool)) Bool (and (and b1 b2) b3))
+(define-fun and4 ((b1 Bool) (b2 Bool) (b3 Bool) (b4 Bool)) Bool (and (and3 b1 b2 b3) b4))
+(define-fun and5 ((b1 Bool) (b2 Bool) (b3 Bool) (b4 Bool) (b5 Bool)) Bool (and (and4 b1 b2 b3 b4) b5))
+(define-fun and6 ((b1 Bool) (b2 Bool) (b3 Bool) (b4 Bool) (b5 Bool) (b6 Bool)) Bool (and (and5 b1 b2 b3 b4 b5) b6))
+(define-fun or3 ((b1 Bool) (b2 Bool) (b3 Bool)) Bool (or (or b1 b2) b3))
+(define-fun or4 ((b1 Bool) (b2 Bool) (b3 Bool) (b4 Bool)) Bool (or (or3 b1 b2 b3) b4))
+(define-fun or5 ((b1 Bool) (b2 Bool) (b3 Bool) (b4 Bool) (b5 Bool)) Bool (or (or4 b1 b2 b3 b4) b5))
+
+(declare-var i Int)
+(declare-var l Int)
+(declare-var i1 Int)
+(declare-var l1 Int)
+(declare-var l2 Int)
+
+(constraint (implies (= l 0) (inv i l)))
+(constraint (implies (and5 (inv i l) (implies (= l 4) (= l1 0)) (implies (not (= l 4)) (= l1 l)) (not (or (< l1 0) (>= l1 5))) (= l2 (+ l1 1))) (inv i l2)))
+(constraint (implies (and4 (inv i l) (implies (= l 4) (= l1 0)) (implies (not (= l 4)) (= l1 l)) (or (< l1 0) (>= l1 5))) false))
+
+(check-synth)
diff --git a/test/regress/regress2/sygus/lustre-real.sy b/test/regress/regress2/sygus/lustre-real.sy
new file mode 100644
index 000000000..2ca010898
--- /dev/null
+++ b/test/regress/regress2/sygus/lustre-real.sy
@@ -0,0 +1,322 @@
+; EXPECT: unsat
+; COMMAND-LINE: --cegqi-si=none --sygus-out=status
+(set-logic LIRA)
+(define-fun
+__node_init_top_0 (
+(top.usr.onOff@0 Bool) (top.usr.decelSet@0 Bool) (top.usr.accelResume@0 Bool) (top.usr.cancel@0 Bool) (top.usr.brakePedal@0 Bool) (top.usr.carGear@0 Int) (top.usr.carSpeed@0 Real) (top.usr.validInputs@0 Bool) (top.usr.OK@0 Bool) (top.res.init_flag@0 Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___@0 Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep@0 Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_final_state_states___root@0 Int) (top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out@0 Int) (top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out@0 Int) ) Bool
+
+(let ((X1 Int 0)) (let ((X2 Int (ite (not (= X1 1)) 1 X1))) (let ((X3 Bool (or (= X2 1) (and (>= X2 2) (<= X2 8))))) (and (= top.usr.OK@0 X3) (let ((X4 Bool false)) (let ((X5 Bool (and (and (and (and (not top.usr.cancel@0) (not top.usr.brakePedal@0)) (ite (= top.usr.carGear@0 3) true false)) (ite (>= top.usr.carSpeed@0 15.0) true false)) top.usr.validInputs@0))) (let ((X6 Bool false)) (and (= top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out@0
+(ite (<= (ite (>= 0 (ite top.usr.decelSet@0 1 0)) 0
+(ite top.usr.decelSet@0 1 0)) 20) (ite (>= 0 (ite top.usr.decelSet@0 1 0)) 0
+(ite top.usr.decelSet@0 1 0)) 20)) (= top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out@0
+(ite (<= (ite (>= 0 (ite top.usr.accelResume@0 1 0)) 0
+(ite top.usr.accelResume@0 1 0)) 20) (ite (>= 0 (ite top.usr.accelResume@0 1 0)) 0
+(ite top.usr.accelResume@0 1 0)) 20)) (= top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep@0 true) (let ((X7 Int (ite (not top.usr.onOff@0) 0 1))) (let ((X8 Bool (and (and (>= X1 2) (<= X1 8)) (not (ite (not (= X7 0)) true false))))) (let ((X9 Int (ite X8 (ite (and (>= X1 2) (<= X1 8)) 0 X1) X1))) (let ((X10 Int (ite X8 (ite (not (= X9 1)) 1 X9) X9))) (let ((X11 Bool (and (= X10 1) (and (ite (not (= X7 0)) true false) (not X8))))) (let ((X12 Int (ite X11 (ite (= X10 1) 0 X10) X10))) (let ((X13 Int (ite (not (and (>= X12 2) (<= X12 8))) 2 X12))) (let ((X14 Bool (and (not (and (>= X12 2) (<= X12 8))) (and (>= X13 2) (<= X13 8))))) (let ((X15 Int (ite X14 (ite (not (= X13 7)) 7 X13) X13))) (let ((X16 Int (ite X11 X15 X12))) (let ((X17 Bool (or X11 X8))) (let ((X18 Int (ite (not X5) 0 1))) (let ((X19 Bool (and (and (>= X16 3) (<= X16 6)) (not (ite (not (= X18 0)) true false))))) (let ((X20
+Int (ite X19
+(ite (and (>= X16 3) (<= X16 6)) 2 X16) X16))) (let ((X21 Int (ite X19 (ite (not (= X20 8)) 8 X20) X20))) (let ((X22 Int (ite (not X4) 0 1))) (let ((X23 Bool (and (= X21 8) (and (and (ite (not (= (ite (not (= X22 1)) 0 1) 0)) true false) (ite (not (= (ite (not (= X18 1)) 0 1) 0)) true false)) (not X19))))) (let ((X24 Int (ite X23 (ite (= X21 8) 2 X21) X21))) (let ((X25
+Int (ite (not (and (>= X24 3) (<= X24 6))) 3 X24))) (let ((X26 Bool (and (not (and (>= X24 3) (<= X24 6))) (and (>= X25 3) (<= X25 6))))) (let ((X27
+Int (ite X26
+(ite (not (= X25 4)) 4 X25) X25))) (let ((X28 Int (ite X23 X27 X24))) (let ((X29 Bool (or X23 X19))) (let ((X30 Bool (and (= X28 8) (and (and (ite (not
+(= (ite (not
+(= (ite (not X6) 0 1) 1)) 0 1) 0)) true false) (ite (not
+(= (ite (not (= X18 1)) 0 1) 0)) true false)) (not X29))))) (let ((X31
+Int (ite X30 (ite (= X28 8) 2 X28) X28))) (let ((X32
+Int (ite (not (and (>= X31 3) (<= X31 6))) 3 X31))) (let ((X33 Bool (and (not
+(and (>= X31 3) (<= X31 6))) (and (>= X32 3) (<= X32 6))))) (let ((X34
+Int (ite X33
+(ite (not (= X32 4)) 4 X32) X32))) (let ((X35 Int (ite X30 X34 X31))) (let ((X36 Bool (or X30 X29))) (let ((X37 Bool (and (= X35 7) (and (and (ite (not
+(= (ite (not (= X22 1)) 0 1) 0)) true false) (ite (not
+(= (ite (not (= X18 1)) 0 1) 0)) true false)) (not X36))))) (let ((X38
+Int (ite X37
+(ite (= X35 7) 2 X35) X35))) (let ((X39
+Int (ite (not
+(and (>= X38 3) (<= X38 6))) 3 X38))) (let ((X40 Bool (and (not
+(and (>= X38 3) (<= X38 6))) (and (>= X39 3) (<= X39 6))))) (let ((X41
+Int (ite X40
+(ite (not (= X39 4)) 4 X39) X39))) (let ((X42 Int (ite X37 X41 X38))) (let ((X43 Bool (or X37 X36))) (let ((X44 Bool (and (= X42 4) (= X22 1)))) (let ((X45
+Int (ite X44
+(ite (= X42 4) 3 X42) X42))) (let ((X46
+Int (ite X44
+(ite (not (= X45 4)) 4 X45) X45))) (let ((X47
+Int (ite (not
+(ite (= top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out@0 20) true false)) 0 1))) (let ((X48 Bool (and (= X46 4) (and (= X47 1) (not X44))))) (let ((X49
+Int (ite X48
+(ite (= X46 4) 3 X46) X46))) (let ((X50
+Int (ite X48
+(ite (not (= X49 5)) 5 X49) X49))) (let ((X51 Bool (or X48 X44))) (let ((X52
+Int (ite (not
+(ite (= top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out@0 20) true false)) 0 1))) (let ((X53 Bool (and (= X50 4) (and (= X52 1) (not X51))))) (let ((X54
+Int (ite X53
+(ite (= X50 4) 3 X50) X50))) (let ((X55
+Int (ite X53
+(ite (not
+(= X54 6)) 6 X54) X54))) (let ((X56 Bool (or X53 X51))) (let ((X57 Bool (and (= X55 6) (and (= X52 0) (not X56))))) (let ((X58
+Int (ite X57
+(ite (= X55 6) 3 X55) X55))) (let ((X59
+Int (ite X57
+(ite (not
+(= X58 4)) 4 X58) X58))) (let ((X60 Bool
+(or X57 X56))) (let ((X61 Bool
+(and (= X59 5) (and (= X47 0) (not X60))))) (let ((X62
+Int (ite X61
+(ite (= X59 5) 3 X59) X59))) (let ((X63
+Int (ite X61
+(ite (not
+(= X62 4)) 4 X62) X62))) (and (= top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___@0 true) (= top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_final_state_states___root@0
+(ite top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___@0
+(ite top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep@0 X2
+(ite (and (not X17) (and (>= X16 2) (<= X16
+8))) (ite (and (not X43) (and (>= X42
+3) (<= X42
+6))) X63 X42) X16)) X1)) (<= 0 X47 1) (<= 0 X22 1) (<= 0 X18 1) (<= 0 X7 1) (<= 0 X52 1) top.res.init_flag@0)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) )
+(define-fun
+__node_trans_top_0 (
+(top.usr.onOff@1 Bool) (top.usr.decelSet@1 Bool) (top.usr.accelResume@1 Bool) (top.usr.cancel@1 Bool) (top.usr.brakePedal@1 Bool) (top.usr.carGear@1 Int) (top.usr.carSpeed@1 Real) (top.usr.validInputs@1 Bool) (top.usr.OK@1 Bool) (top.res.init_flag@1 Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___@1 Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep@1 Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_final_state_states___root@1 Int) (top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out@1 Int) (top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out@1 Int) (top.usr.onOff@0 Bool) (top.usr.decelSet@0 Bool) (top.usr.accelResume@0 Bool) (top.usr.cancel@0 Bool) (top.usr.brakePedal@0 Bool) (top.usr.carGear@0 Int) (top.usr.carSpeed@0 Real) (top.usr.validInputs@0 Bool) (top.usr.OK@0 Bool) (top.res.init_flag@0 Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___@0 Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep@0 Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_final_state_states___root@0 Int) (top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out@0 Int) (top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out@0 Int) ) Bool
+
+(let ((X1
+Int top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_final_state_states___root@0)) (let ((X2 Int (ite (not (= X1 1)) 1 X1))) (let ((X3 Bool (or (= X2 1) (and (>= X2 2) (<= X2 8))))) (and (= top.usr.OK@1 X3) (let ((X4 Bool (and (not top.usr.decelSet@0) top.usr.decelSet@1))) (let ((X5 Bool (and (and (and (and (not top.usr.cancel@1) (not top.usr.brakePedal@1)) (ite (= top.usr.carGear@1 3) true false)) (ite (>= top.usr.carSpeed@1 15.0) true false)) top.usr.validInputs@1))) (let ((X6 Bool (and (not top.usr.accelResume@0) top.usr.accelResume@1))) (and (= top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out@1
+(ite (<= (ite (>= 0
+(ite top.usr.decelSet@1
+(+ top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out@0 1) 0)) 0
+(ite top.usr.decelSet@1
+(+ top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out@0 1) 0)) 20) (ite (>= 0
+(ite top.usr.decelSet@1
+(+ top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out@0 1) 0)) 0
+(ite top.usr.decelSet@1
+(+ top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out@0 1) 0)) 20)) (= top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out@1
+(ite (<= (ite (>= 0
+(ite top.usr.accelResume@1
+(+ top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out@0 1) 0)) 0
+(ite top.usr.accelResume@1
+(+ top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out@0 1) 0)) 20) (ite (>= 0
+(ite top.usr.accelResume@1
+(+ top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out@0 1) 0)) 0
+(ite top.usr.accelResume@1
+(+ top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out@0 1) 0)) 20)) (= top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep@1
+(ite top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___@0
+false top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep@0)) (let ((X7 Int (ite (not top.usr.onOff@1) 0 1))) (let ((X8 Bool (and (and (>= X1 2) (<= X1 8)) (not (ite (not (= X7 0)) true false))))) (let ((X9 Int (ite X8 (ite (and (>= X1 2) (<= X1 8)) 0 X1) X1))) (let ((X10 Int (ite X8 (ite (not (= X9 1)) 1 X9) X9))) (let ((X11 Bool (and (= X10 1) (and (ite (not (= X7 0)) true false) (not X8))))) (let ((X12 Int (ite X11 (ite (= X10 1) 0 X10) X10))) (let ((X13 Int (ite (not (and (>= X12 2) (<= X12 8))) 2 X12))) (let ((X14 Bool (and (not (and (>= X12 2) (<= X12 8))) (and (>= X13 2) (<= X13 8))))) (let ((X15 Int (ite X14 (ite (not (= X13 7)) 7 X13) X13))) (let ((X16 Int (ite X11 X15 X12))) (let ((X17 Bool (or X11 X8))) (let ((X18 Int (ite (not X5) 0 1))) (let ((X19 Bool (and (and (>= X16 3) (<= X16 6)) (not (ite (not (= X18 0)) true false))))) (let ((X20
+Int (ite X19
+(ite (and (>= X16 3) (<= X16 6)) 2 X16) X16))) (let ((X21 Int (ite X19 (ite (not (= X20 8)) 8 X20) X20))) (let ((X22 Int (ite (not X4) 0 1))) (let ((X23 Bool (and (= X21 8) (and (and (ite (not (= (ite (not (= X22 1)) 0 1) 0)) true false) (ite (not (= (ite (not (= X18 1)) 0 1) 0)) true false)) (not X19))))) (let ((X24 Int (ite X23 (ite (= X21 8) 2 X21) X21))) (let ((X25
+Int (ite (not (and (>= X24 3) (<= X24 6))) 3 X24))) (let ((X26 Bool (and (not (and (>= X24 3) (<= X24 6))) (and (>= X25 3) (<= X25 6))))) (let ((X27
+Int (ite X26
+(ite (not (= X25 4)) 4 X25) X25))) (let ((X28 Int (ite X23 X27 X24))) (let ((X29 Bool (or X23 X19))) (let ((X30 Bool (and (= X28 8) (and (and (ite (not
+(= (ite (not
+(= (ite (not X6) 0 1) 1)) 0 1) 0)) true false) (ite (not
+(= (ite (not (= X18 1)) 0 1) 0)) true false)) (not X29))))) (let ((X31
+Int (ite X30 (ite (= X28 8) 2 X28) X28))) (let ((X32
+Int (ite (not (and (>= X31 3) (<= X31 6))) 3 X31))) (let ((X33 Bool (and (not
+(and (>= X31 3) (<= X31 6))) (and (>= X32 3) (<= X32 6))))) (let ((X34
+Int (ite X33
+(ite (not (= X32 4)) 4 X32) X32))) (let ((X35 Int (ite X30 X34 X31))) (let ((X36 Bool (or X30 X29))) (let ((X37 Bool (and (= X35 7) (and (and (ite (not
+(= (ite (not (= X22 1)) 0 1) 0)) true false) (ite (not
+(= (ite (not (= X18 1)) 0 1) 0)) true false)) (not X36))))) (let ((X38
+Int (ite X37
+(ite (= X35 7) 2 X35) X35))) (let ((X39
+Int (ite (not
+(and (>= X38 3) (<= X38 6))) 3 X38))) (let ((X40 Bool (and (not
+(and (>= X38 3) (<= X38 6))) (and (>= X39 3) (<= X39 6))))) (let ((X41
+Int (ite X40
+(ite (not (= X39 4)) 4 X39) X39))) (let ((X42 Int (ite X37 X41 X38))) (let ((X43 Bool (or X37 X36))) (let ((X44 Bool (and (= X42 4) (= X22 1)))) (let ((X45
+Int (ite X44
+(ite (= X42 4) 3 X42) X42))) (let ((X46
+Int (ite X44
+(ite (not (= X45 4)) 4 X45) X45))) (let ((X47
+Int (ite (not
+(ite (= top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out@1 20) true false)) 0 1))) (let ((X48 Bool (and (= X46 4) (and (= X47 1) (not X44))))) (let ((X49
+Int (ite X48
+(ite (= X46 4) 3 X46) X46))) (let ((X50
+Int (ite X48
+(ite (not (= X49 5)) 5 X49) X49))) (let ((X51 Bool (or X48 X44))) (let ((X52
+Int (ite (not
+(ite (= top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out@1 20) true false)) 0 1))) (let ((X53 Bool (and (= X50 4) (and (= X52 1) (not X51))))) (let ((X54
+Int (ite X53
+(ite (= X50 4) 3 X50) X50))) (let ((X55
+Int (ite X53
+(ite (not
+(= X54 6)) 6 X54) X54))) (let ((X56 Bool (or X53 X51))) (let ((X57 Bool (and (= X55 6) (and (= X52 0) (not X56))))) (let ((X58
+Int (ite X57
+(ite (= X55 6) 3 X55) X55))) (let ((X59
+Int (ite X57
+(ite (not
+(= X58 4)) 4 X58) X58))) (let ((X60 Bool
+(or X57 X56))) (let ((X61 Bool
+(and (= X59 5) (and (= X47 0) (not X60))))) (let ((X62
+Int (ite X61
+(ite (= X59 5) 3 X59) X59))) (let ((X63
+Int (ite X61
+(ite (not
+(= X62 4)) 4 X62) X62))) (and (= top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___@1 true) (= top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_final_state_states___root@1
+(ite top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___@1
+(ite top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep@1 X2
+(ite (and (not X17) (and (>= X16 2) (<= X16
+8))) (ite (and (not X43) (and (>= X42
+3) (<= X42
+6))) X63 X42) X16)) X1)) (<= 0 X47 1) (<= 0 X22 1) (<= 0 X18 1) (<= 0 X7 1) (<= 0 X52 1) (not top.res.init_flag@1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) )
+
+
+(synth-inv str_invariant(
+(top.usr.onOff Bool) (top.usr.decelSet Bool) (top.usr.accelResume Bool) (top.usr.cancel Bool) (top.usr.brakePedal Bool) (top.usr.carGear Int) (top.usr.carSpeed Real) (top.usr.validInputs Bool) (top.usr.OK Bool) (top.res.init_flag Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___ Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_final_state_states___root Int) (top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out Int) (top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out Int) ))
+
+(declare-primed-var top.usr.onOff Bool) (declare-primed-var top.usr.decelSet Bool) (declare-primed-var top.usr.accelResume Bool) (declare-primed-var top.usr.cancel Bool) (declare-primed-var top.usr.brakePedal Bool) (declare-primed-var top.usr.carGear Int) (declare-primed-var top.usr.carSpeed Real) (declare-primed-var top.usr.validInputs Bool) (declare-primed-var top.usr.OK Bool) (declare-primed-var top.res.init_flag Bool) (declare-primed-var top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___ Bool) (declare-primed-var top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep Bool) (declare-primed-var top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_final_state_states___root Int) (declare-primed-var top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out Int) (declare-primed-var top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out Int)
+(define-fun
+init (
+(top.usr.onOff Bool) (top.usr.decelSet Bool) (top.usr.accelResume Bool) (top.usr.cancel Bool) (top.usr.brakePedal Bool) (top.usr.carGear Int) (top.usr.carSpeed Real) (top.usr.validInputs Bool) (top.usr.OK Bool) (top.res.init_flag Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___ Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_final_state_states___root Int) (top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out Int) (top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out Int) ) Bool
+
+(let ((X1 Int 0)) (let ((X2 Int (ite (not (= X1 1)) 1 X1))) (let ((X3 Bool (or (= X2 1) (and (>= X2 2) (<= X2 8))))) (and (= top.usr.OK X3) (let ((X4 Bool false)) (let ((X5 Bool (and (and (and (and (not top.usr.cancel) (not top.usr.brakePedal)) (ite (= top.usr.carGear 3) true false)) (ite (>= top.usr.carSpeed 15.0) true false)) top.usr.validInputs))) (let ((X6 Bool false)) (and (= top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out
+(ite (<= (ite (>= 0 (ite top.usr.decelSet 1 0)) 0
+(ite top.usr.decelSet 1 0)) 20) (ite (>= 0 (ite top.usr.decelSet 1 0)) 0
+(ite top.usr.decelSet 1 0)) 20)) (= top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out
+(ite (<= (ite (>= 0 (ite top.usr.accelResume 1 0)) 0
+(ite top.usr.accelResume 1 0)) 20) (ite (>= 0 (ite top.usr.accelResume 1 0)) 0
+(ite top.usr.accelResume 1 0)) 20)) (= top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep true) (let ((X7 Int (ite (not top.usr.onOff) 0 1))) (let ((X8 Bool (and (and (>= X1 2) (<= X1 8)) (not (ite (not (= X7 0)) true false))))) (let ((X9 Int (ite X8 (ite (and (>= X1 2) (<= X1 8)) 0 X1) X1))) (let ((X10 Int (ite X8 (ite (not (= X9 1)) 1 X9) X9))) (let ((X11 Bool (and (= X10 1) (and (ite (not (= X7 0)) true false) (not X8))))) (let ((X12 Int (ite X11 (ite (= X10 1) 0 X10) X10))) (let ((X13 Int (ite (not (and (>= X12 2) (<= X12 8))) 2 X12))) (let ((X14 Bool (and (not (and (>= X12 2) (<= X12 8))) (and (>= X13 2) (<= X13 8))))) (let ((X15 Int (ite X14 (ite (not (= X13 7)) 7 X13) X13))) (let ((X16 Int (ite X11 X15 X12))) (let ((X17 Bool (or X11 X8))) (let ((X18 Int (ite (not X5) 0 1))) (let ((X19 Bool (and (and (>= X16 3) (<= X16 6)) (not (ite (not (= X18 0)) true false))))) (let ((X20
+Int (ite X19
+(ite (and (>= X16 3) (<= X16 6)) 2 X16) X16))) (let ((X21 Int (ite X19 (ite (not (= X20 8)) 8 X20) X20))) (let ((X22 Int (ite (not X4) 0 1))) (let ((X23 Bool (and (= X21 8) (and (and (ite (not (= (ite (not (= X22 1)) 0 1) 0)) true false) (ite (not (= (ite (not (= X18 1)) 0 1) 0)) true false)) (not X19))))) (let ((X24 Int (ite X23 (ite (= X21 8) 2 X21) X21))) (let ((X25
+Int (ite (not (and (>= X24 3) (<= X24 6))) 3 X24))) (let ((X26 Bool (and (not (and (>= X24 3) (<= X24 6))) (and (>= X25 3) (<= X25 6))))) (let ((X27
+Int (ite X26
+(ite (not (= X25 4)) 4 X25) X25))) (let ((X28 Int (ite X23 X27 X24))) (let ((X29 Bool (or X23 X19))) (let ((X30 Bool (and (= X28 8) (and (and (ite (not
+(= (ite (not
+(= (ite (not X6) 0 1) 1)) 0 1) 0)) true false) (ite (not
+(= (ite (not (= X18 1)) 0 1) 0)) true false)) (not X29))))) (let ((X31
+Int (ite X30 (ite (= X28 8) 2 X28) X28))) (let ((X32
+Int (ite (not (and (>= X31 3) (<= X31 6))) 3 X31))) (let ((X33 Bool (and (not
+(and (>= X31 3) (<= X31 6))) (and (>= X32 3) (<= X32 6))))) (let ((X34
+Int (ite X33
+(ite (not (= X32 4)) 4 X32) X32))) (let ((X35 Int (ite X30 X34 X31))) (let ((X36 Bool (or X30 X29))) (let ((X37 Bool (and (= X35 7) (and (and (ite (not
+(= (ite (not (= X22 1)) 0 1) 0)) true false) (ite (not
+(= (ite (not (= X18 1)) 0 1) 0)) true false)) (not X36))))) (let ((X38
+Int (ite X37
+(ite (= X35 7) 2 X35) X35))) (let ((X39
+Int (ite (not
+(and (>= X38 3) (<= X38 6))) 3 X38))) (let ((X40 Bool (and (not
+(and (>= X38 3) (<= X38 6))) (and (>= X39 3) (<= X39 6))))) (let ((X41
+Int (ite X40
+(ite (not (= X39 4)) 4 X39) X39))) (let ((X42 Int (ite X37 X41 X38))) (let ((X43 Bool (or X37 X36))) (let ((X44 Bool (and (= X42 4) (= X22 1)))) (let ((X45
+Int (ite X44
+(ite (= X42 4) 3 X42) X42))) (let ((X46
+Int (ite X44
+(ite (not (= X45 4)) 4 X45) X45))) (let ((X47
+Int (ite (not
+(ite (= top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out 20) true false)) 0 1))) (let ((X48 Bool (and (= X46 4) (and (= X47 1) (not X44))))) (let ((X49
+Int (ite X48
+(ite (= X46 4) 3 X46) X46))) (let ((X50
+Int (ite X48
+(ite (not (= X49 5)) 5 X49) X49))) (let ((X51 Bool (or X48 X44))) (let ((X52
+Int (ite (not
+(ite (= top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out 20) true false)) 0 1))) (let ((X53 Bool (and (= X50 4) (and (= X52 1) (not X51))))) (let ((X54
+Int (ite X53
+(ite (= X50 4) 3 X50) X50))) (let ((X55
+Int (ite X53
+(ite (not
+(= X54 6)) 6 X54) X54))) (let ((X56 Bool (or X53 X51))) (let ((X57 Bool (and (= X55 6) (and (= X52 0) (not X56))))) (let ((X58
+Int (ite X57
+(ite (= X55 6) 3 X55) X55))) (let ((X59
+Int (ite X57
+(ite (not
+(= X58 4)) 4 X58) X58))) (let ((X60 Bool
+(or X57 X56))) (let ((X61 Bool
+(and (= X59 5) (and (= X47 0) (not X60))))) (let ((X62
+Int (ite X61
+(ite (= X59 5) 3 X59) X59))) (let ((X63
+Int (ite X61
+(ite (not
+(= X62 4)) 4 X62) X62))) (and (= top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___ true) (= top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_final_state_states___root
+(ite top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___
+(ite top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep X2
+(ite (and (not X17) (and (>= X16 2) (<= X16
+8))) (ite (and (not X43) (and (>= X42
+3) (<= X42
+6))) X63 X42) X16)) X1)) (<= 0 X47 1) (<= 0 X22 1) (<= 0 X18 1) (<= 0 X7 1) (<= 0 X52 1) top.res.init_flag)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) )
+(define-fun trans (
+
+;; Current state.
+(top.usr.onOff Bool) (top.usr.decelSet Bool) (top.usr.accelResume Bool) (top.usr.cancel Bool) (top.usr.brakePedal Bool) (top.usr.carGear Int) (top.usr.carSpeed Real) (top.usr.validInputs Bool) (top.usr.OK Bool) (top.res.init_flag Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___ Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_final_state_states___root Int) (top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out Int) (top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out Int)
+;; Next state.
+(top.usr.onOff! Bool) (top.usr.decelSet! Bool) (top.usr.accelResume! Bool) (top.usr.cancel! Bool) (top.usr.brakePedal! Bool) (top.usr.carGear! Int) (top.usr.carSpeed! Real) (top.usr.validInputs! Bool) (top.usr.OK! Bool) (top.res.init_flag! Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___! Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep! Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_final_state_states___root! Int) (top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out! Int) (top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out! Int)
+) Bool
+
+(let ((X1
+Int top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_final_state_states___root)) (let ((X2 Int (ite (not (= X1 1)) 1 X1))) (let ((X3 Bool (or (= X2 1) (and (>= X2 2) (<= X2 8))))) (and (= top.usr.OK! X3) (let ((X4 Bool (and (not top.usr.decelSet) top.usr.decelSet!))) (let ((X5 Bool (and (and (and (and (not top.usr.cancel!) (not top.usr.brakePedal!)) (ite (= top.usr.carGear! 3) true false)) (ite (>= top.usr.carSpeed! 15.0) true false)) top.usr.validInputs!))) (let ((X6 Bool (and (not top.usr.accelResume) top.usr.accelResume!))) (and (= top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out!
+(ite (<= (ite (>= 0
+(ite top.usr.decelSet!
+(+ top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out 1) 0)) 0
+(ite top.usr.decelSet!
+(+ top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out 1) 0)) 20) (ite (>= 0
+(ite top.usr.decelSet!
+(+ top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out 1) 0)) 0
+(ite top.usr.decelSet!
+(+ top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out 1) 0)) 20)) (= top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out!
+(ite (<= (ite (>= 0
+(ite top.usr.accelResume!
+(+ top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out 1) 0)) 0
+(ite top.usr.accelResume!
+(+ top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out 1) 0)) 20) (ite (>= 0
+(ite top.usr.accelResume!
+(+ top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out 1) 0)) 0
+(ite top.usr.accelResume!
+(+ top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out 1) 0)) 20)) (= top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep!
+(ite top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___
+false top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep)) (let ((X7 Int (ite (not top.usr.onOff!) 0 1))) (let ((X8 Bool (and (and (>= X1 2) (<= X1 8)) (not (ite (not (= X7 0)) true false))))) (let ((X9 Int (ite X8 (ite (and (>= X1 2) (<= X1 8)) 0 X1) X1))) (let ((X10 Int (ite X8 (ite (not (= X9 1)) 1 X9) X9))) (let ((X11 Bool (and (= X10 1) (and (ite (not (= X7 0)) true false) (not X8))))) (let ((X12 Int (ite X11 (ite (= X10 1) 0 X10) X10))) (let ((X13 Int (ite (not (and (>= X12 2) (<= X12 8))) 2 X12))) (let ((X14 Bool (and (not (and (>= X12 2) (<= X12 8))) (and (>= X13 2) (<= X13 8))))) (let ((X15 Int (ite X14 (ite (not (= X13 7)) 7 X13) X13))) (let ((X16 Int (ite X11 X15 X12))) (let ((X17 Bool (or X11 X8))) (let ((X18 Int (ite (not X5) 0 1))) (let ((X19 Bool (and (and (>= X16 3) (<= X16 6)) (not (ite (not (= X18 0)) true false))))) (let ((X20
+Int (ite X19
+(ite (and (>= X16 3) (<= X16 6)) 2 X16) X16))) (let ((X21 Int (ite X19 (ite (not (= X20 8)) 8 X20) X20))) (let ((X22 Int (ite (not X4) 0 1))) (let ((X23 Bool (and (= X21 8) (and (and (ite (not (= (ite (not (= X22 1)) 0 1) 0)) true false) (ite (not (= (ite (not (= X18 1)) 0 1) 0)) true false)) (not X19))))) (let ((X24 Int (ite X23 (ite (= X21 8) 2 X21) X21))) (let ((X25
+Int (ite (not (and (>= X24 3) (<= X24 6))) 3 X24))) (let ((X26 Bool (and (not (and (>= X24 3) (<= X24 6))) (and (>= X25 3) (<= X25 6))))) (let ((X27
+Int (ite X26
+(ite (not (= X25 4)) 4 X25) X25))) (let ((X28 Int (ite X23 X27 X24))) (let ((X29 Bool (or X23 X19))) (let ((X30 Bool (and (= X28 8) (and (and (ite (not
+(= (ite (not
+(= (ite (not X6) 0 1) 1)) 0 1) 0)) true false) (ite (not
+(= (ite (not (= X18 1)) 0 1) 0)) true false)) (not X29))))) (let ((X31
+Int (ite X30 (ite (= X28 8) 2 X28) X28))) (let ((X32
+Int (ite (not (and (>= X31 3) (<= X31 6))) 3 X31))) (let ((X33 Bool (and (not
+(and (>= X31 3) (<= X31 6))) (and (>= X32 3) (<= X32 6))))) (let ((X34
+Int (ite X33
+(ite (not (= X32 4)) 4 X32) X32))) (let ((X35 Int (ite X30 X34 X31))) (let ((X36 Bool (or X30 X29))) (let ((X37 Bool (and (= X35 7) (and (and (ite (not
+(= (ite (not (= X22 1)) 0 1) 0)) true false) (ite (not
+(= (ite (not (= X18 1)) 0 1) 0)) true false)) (not X36))))) (let ((X38
+Int (ite X37
+(ite (= X35 7) 2 X35) X35))) (let ((X39
+Int (ite (not
+(and (>= X38 3) (<= X38 6))) 3 X38))) (let ((X40 Bool (and (not
+(and (>= X38 3) (<= X38 6))) (and (>= X39 3) (<= X39 6))))) (let ((X41
+Int (ite X40
+(ite (not (= X39 4)) 4 X39) X39))) (let ((X42 Int (ite X37 X41 X38))) (let ((X43 Bool (or X37 X36))) (let ((X44 Bool (and (= X42 4) (= X22 1)))) (let ((X45
+Int (ite X44
+(ite (= X42 4) 3 X42) X42))) (let ((X46
+Int (ite X44
+(ite (not (= X45 4)) 4 X45) X45))) (let ((X47
+Int (ite (not
+(ite (= top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out! 20) true false)) 0 1))) (let ((X48 Bool (and (= X46 4) (and (= X47 1) (not X44))))) (let ((X49
+Int (ite X48
+(ite (= X46 4) 3 X46) X46))) (let ((X50
+Int (ite X48
+(ite (not (= X49 5)) 5 X49) X49))) (let ((X51 Bool (or X48 X44))) (let ((X52
+Int (ite (not
+(ite (= top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out! 20) true false)) 0 1))) (let ((X53 Bool (and (= X50 4) (and (= X52 1) (not X51))))) (let ((X54
+Int (ite X53
+(ite (= X50 4) 3 X50) X50))) (let ((X55
+Int (ite X53
+(ite (not
+(= X54 6)) 6 X54) X54))) (let ((X56 Bool (or X53 X51))) (let ((X57 Bool (and (= X55 6) (and (= X52 0) (not X56))))) (let ((X58
+Int (ite X57
+(ite (= X55 6) 3 X55) X55))) (let ((X59
+Int (ite X57
+(ite (not
+(= X58 4)) 4 X58) X58))) (let ((X60 Bool
+(or X57 X56))) (let ((X61 Bool
+(and (= X59 5) (and (= X47 0) (not X60))))) (let ((X62
+Int (ite X61
+(ite (= X59 5) 3 X59) X59))) (let ((X63
+Int (ite X61
+(ite (not
+(= X62 4)) 4 X62) X62))) (and (= top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___! true) (= top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_final_state_states___root!
+(ite top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___!
+(ite top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep! X2
+(ite (and (not X17) (and (>= X16 2) (<= X16
+8))) (ite (and (not X43) (and (>= X42
+3) (<= X42
+6))) X63 X42) X16)) X1)) (<= 0 X47 1) (<= 0 X22 1) (<= 0 X18 1) (<= 0 X7 1) (<= 0 X52 1) (not top.res.init_flag!))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) )
+(define-fun
+prop (
+(top.usr.onOff Bool) (top.usr.decelSet Bool) (top.usr.accelResume Bool) (top.usr.cancel Bool) (top.usr.brakePedal Bool) (top.usr.carGear Int) (top.usr.carSpeed Real) (top.usr.validInputs Bool) (top.usr.OK Bool) (top.res.init_flag Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic____wakeup___ Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_rlt_evtInitStep Bool) (top.impl.usr.chart_CruiseController_ModeLogic_mode_logic_final_state_states___root Int) (top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetAccel_Bounded_Count_Out Int) (top.impl.usr.cruise_controller_CruiseController_ModeLogic_DelayOnsetDecel_Bounded_Count_Out Int) ) Bool
+ top.usr.OK
+)
+(inv-constraint str_invariant init trans prop)
+(check-synth)
diff --git a/test/regress/regress2/sygus/max2-univ.sy b/test/regress/regress2/sygus/max2-univ.sy
new file mode 100644
index 000000000..0e00cfd9b
--- /dev/null
+++ b/test/regress/regress2/sygus/max2-univ.sy
@@ -0,0 +1,12 @@
+; EXPECT: unsat
+; COMMAND-LINE: --sygus-out=status
+; Synthesize the maximum of 2 integers, but property has 4 variables (requires 2 passes)
+(set-logic LIA)
+(synth-fun max2 ((x Int) (y Int)) Int)
+(declare-var x Int)
+(declare-var y Int)
+(declare-var r Int)
+(declare-var w Int)
+(constraint (=> (< r 0) (=> (or (and (= x w) (= y (+ w r))) (and (= x (+ w r)) (= y w))) (= (max2 x y) w))))
+(check-synth)
+
diff --git a/test/regress/regress2/sygus/mpg_guard1-dd.sy b/test/regress/regress2/sygus/mpg_guard1-dd.sy
new file mode 100644
index 000000000..31800a36f
--- /dev/null
+++ b/test/regress/regress2/sygus/mpg_guard1-dd.sy
@@ -0,0 +1,27 @@
+; EXPECT: unsat
+; COMMAND-LINE: --sygus-out=status
+(set-logic LIA)
+
+(synth-fun eq1 ( (x Int) (y Int) ) Int
+ ((Start Int (x
+ y
+ 0
+ (+ Start Start)
+ (- Start Start)
+ (ite StartBool Start Start)))
+ (StartBool Bool ((and StartBool StartBool)
+ (<= Start Start)
+ (= Start Start)))))
+
+(define-fun iteB (( b1 Bool ) (b2 Bool ) (b3 Bool )) Bool (or (and b1 b2) (and (not b1) b3)))
+
+(declare-var x Int)
+(declare-var y Int)
+
+(constraint (iteB (>= x 0)
+ (= (eq1 x y) (+ x x))
+ (= (eq1 x y) x)
+))
+
+(check-synth)
+
diff --git a/test/regress/regress2/sygus/nia-max-square.sy b/test/regress/regress2/sygus/nia-max-square.sy
new file mode 100644
index 000000000..e023e837b
--- /dev/null
+++ b/test/regress/regress2/sygus/nia-max-square.sy
@@ -0,0 +1,21 @@
+; EXPECT: unsat
+; COMMAND-LINE: --sygus-out=status --nl-ext-tplanes
+(set-logic NIA)
+
+(synth-fun max ((x Int) (y Int)) Int
+ ((Start Int (0 1 x y
+ (+ Start Start)
+ (- Start Start)
+ (* Start Start)
+ (ite StartBool Start Start)))
+ (StartBool Bool ((and StartBool StartBool)
+ (not StartBool)
+ (<= Start Start)))))
+
+(declare-var x Int)
+(declare-var y Int)
+
+(constraint (>= (max x y) (* x x)))
+(constraint (>= (max x y) (* y y)))
+
+(check-synth)
diff --git a/test/regress/regress2/sygus/no-syntax-test-no-si.sy b/test/regress/regress2/sygus/no-syntax-test-no-si.sy
new file mode 100644
index 000000000..8f333811c
--- /dev/null
+++ b/test/regress/regress2/sygus/no-syntax-test-no-si.sy
@@ -0,0 +1,14 @@
+; EXPECT: unsat
+; COMMAND-LINE: --sygus-out=status
+
+(set-logic LIA)
+
+(synth-fun f ((x Int) (y Int)) Int)
+
+(declare-var x Int)
+(declare-var y Int)
+
+(constraint (= (f x y) (+ (f x x) (f y y) x 1)))
+
+(check-synth)
+
diff --git a/test/regress/regress2/sygus/process-10-vars-2fun.sy b/test/regress/regress2/sygus/process-10-vars-2fun.sy
new file mode 100644
index 000000000..00340030f
--- /dev/null
+++ b/test/regress/regress2/sygus/process-10-vars-2fun.sy
@@ -0,0 +1,28 @@
+; COMMAND-LINE: --cegqi-si=none --sygus-out=status
+; EXPECT: unsat
+(set-logic LIA)
+
+(synth-fun f ((x1 Int) (x2 Int) (x3 Int) (x4 Int) (x5 Int) (x6 Int) (x7 Int) (x8 Int) (x9 Int) (x10 Int)) Int)
+
+(synth-fun g ((x1 Int) (x2 Int) (x3 Int) (x4 Int) (x5 Int) (x6 Int) (x7 Int) (x8 Int) (x9 Int) (x10 Int)) Int)
+
+(declare-var x1 Int)
+(declare-var x2 Int)
+(declare-var x3 Int)
+(declare-var x4 Int)
+(declare-var x5 Int)
+(declare-var x6 Int)
+(declare-var x7 Int)
+(declare-var x8 Int)
+(declare-var x9 Int)
+(declare-var x10 Int)
+
+; should be able to determine that arguments 1...6, 8...10 are irrelevant for f
+; and arguments 1...3, 5...10 are irrelevant for g
+
+(constraint (>= (f x1 x2 x3 x4 x5 x6 x7 x8 x9 x10) (+ x7 x7 x7)))
+
+(constraint (>= (g x1 x2 x3 x4 x5 x6 x7 x8 x9 x10) (+ x4 x4 x4)))
+
+(check-synth)
+
diff --git a/test/regress/regress2/sygus/process-arg-invariance.sy b/test/regress/regress2/sygus/process-arg-invariance.sy
new file mode 100644
index 000000000..3c18b6c75
--- /dev/null
+++ b/test/regress/regress2/sygus/process-arg-invariance.sy
@@ -0,0 +1,18 @@
+; COMMAND-LINE: --cegqi-si=none --sygus-out=status --no-sygus-add-const-grammar
+; EXPECT: unsat
+(set-logic LIA)
+
+(synth-fun f ((x1 Int) (x2 Int) (x3 Int) (x4 Int) (x5 Int) (x6 Int) (x7 Int) (x8 Int) (x9 Int) (x10 Int)) Int)
+
+
+(declare-var x Int)
+(declare-var y Int)
+
+; should be able to determine that only 3 arguments
+; (one of 5...9, one of 1 or 4, one of 2 or 3) is relevant for f
+
+(constraint (> (f (+ x x) (+ x 1) (+ x 1) (+ x x) x x x x x 0) (+ x x x)))
+(constraint (<= (f x x x x x x x x x 0) (+ x x x)))
+
+(check-synth)
+
diff --git a/test/regress/regress2/sygus/real-grammar-neg.sy b/test/regress/regress2/sygus/real-grammar-neg.sy
new file mode 100644
index 000000000..523c95ec2
--- /dev/null
+++ b/test/regress/regress2/sygus/real-grammar-neg.sy
@@ -0,0 +1,14 @@
+; EXPECT: unsat
+; COMMAND-LINE: --sygus-out=status --cegqi-si=none --no-sygus-pbe
+
+(set-logic LRA)
+
+(synth-fun f ((x Real)) Real)
+
+(declare-var x Real)
+
+(constraint (and (= (f -4) -2) (= (f -9) (/ -9 2))))
+
+(check-synth)
+
+; a solution is f = (/ x (+ 1 1))
diff --git a/test/regress/regress2/sygus/three.sy b/test/regress/regress2/sygus/three.sy
new file mode 100644
index 000000000..831e5beb1
--- /dev/null
+++ b/test/regress/regress2/sygus/three.sy
@@ -0,0 +1,30 @@
+; EXPECT: unsat
+; COMMAND-LINE: --sygus-out=status
+
+(set-logic LIA)
+
+(synth-fun f ((x Int)) Int
+ ((Start Int (
+ x
+ 3
+ 7
+ 10
+ (* Start Start)
+ (mod Start Start)))))
+
+(declare-var x Int)
+
+(constraint (= (f x) (f (+ x 10))))
+(constraint (= (f 1) 3))
+(constraint (= (f 2) 6))
+(constraint (= (f 3) 9))
+(constraint (= (f 4) 2))
+(constraint (= (f 5) 5))
+(constraint (= (f 6) 8))
+(constraint (= (f 7) 1))
+(constraint (= (f 8) 4))
+(constraint (= (f 9) 7))
+(constraint (= (f 0) 0))
+
+(check-synth)
+
generated by cgit on debian on lair
contact matthew@masot.net with questions or feedback